eBeam Initiative Survey Reports EUV Fueling Photomask Industry Growth

Results of 11th annual Luminaries survey to be presented at live event held during SPIE Photomask Technology + EUV Lithography Conference

SIA

 

SAN JOSE, Calif., September 27, 2022— The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its 11th annual eBeam Initiative Luminaries survey. Industry luminaries representing 44 companies from across the semiconductor ecosystem—including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research—participated in this year’s survey.

78 percent of survey respondents believe that EUV lithography will contribute to photomask (mask) revenue growth, while 70 percent predict that mask revenues in 2022 will increase compared to 2021. EUV also remains the top reason cited by respondents for purchasing multi-beam mask writers. In addition, 93 percent believe that purchases of multi-beam mask writers will grow over the next three years.

Access to multi-beam mask writers is seen as less of a barrier to curvilinear mask making compared to last year’s survey results. Confidence among luminaries in curvilinear mask making also remains high, with 76 percent of respondents indicating that leading-edge mask shops can handle at least a limited number of such masks.

New questions were added to the Luminaries survey this year to gauge perceptions on high-numerical aperture (high-NA) EUV. 59 percent predict that high-NA EUV will first be used in HVM by 2026. In addition, 76 percent predict that broad HVM adoption of high-NA EUV by more than one company will occur in 2027 or beyond.

The complete results of the Luminaries survey will be discussed by an expert panel this evening during an eBeam Initiative event held in conjunction with the SPIE Photomask Technology + EUV Lithography Conference in Monterey, Calif., and will be available for download following the event at www.ebeam.org .

Additional Highlights from the Luminaries Survey (conducted in July 2022)

  • 69 percent believe that actinic inspection will be used in the mask shop for 0.33 NA EUV HVM by 2023
  • 70 percent predict that EUV mask turnaround time in 2024 will remain longer than leading-edge 193-nm immersion (193i) mask turnaround times today
  • More than 90 percent believe that inverse lithography technology (ILT) is consistently used on at least a few critical layers of production chips today
  • Mask infrastructure was ranked as the biggest concern in producing masks with curvilinear shapes
  • Yet only one percent of respondents indicated that curvilinear concerns are insurmountable

“It is exciting to finally be back at SPIE Photomask Technology and presenting the results of the 11th annual Luminaries Survey in person after hosting our annual event virtually for the past two years,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “The results of this year’s survey show a very bright outlook on multi-beam mask writing in terms of both market readiness and unit sales. EUV continues to be the top reason for purchasing multi-beam mask writers. Practically speaking, production EUV masks are all being written by multi-beam writers. Once you have a multi-beam mask writer, there’s no reason not to also take advantage of the benefits of curvilinear ILT to improve process windows on the wafer. As a result, luminaries are also predicting curvilinear masks to be the future of leading-edge mask making. These are very exciting times for the mask industry.”

About The eBeam Initiative

The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem. Members, which span the semiconductor ecosystem, include: aBeam Technologies; Advantest; Alchip Technologies; AMTC; Applied Materials; Artwork Conversion; Aselta Nanographics; ASML; Cadence Design Systems; Canon; CEA-Leti; D2S; Dai Nippon Printing; EQUIcon Software GmbH Jena; ESOL; Fractilia; Fraunhofer IPMS; Fujitsu Semiconductor Limited; GenISys GmbH; GlobalFoundries (GF); Grenon Consulting; Hitachi High-Tech Corporation; HJL Lithography; HOLON CO., LTD; HOYA Corporation; imec; IMS CHIPS; IMS Nanofabrication AG; JEOL; KIOXIA; KLA; Micron Technology; Multibeam Corporation; NCS; NuFlare Technology; Petersen Advanced Lithography; Photronics; QY Mask; Samsung Electronics; Semiconductor Manufacturing International (Shanghai) Corporation (SMIC); Siemens EDA; STMicroelectronics; Synopsys; TASMIT; Tokyo Electron Ltd. (TEL); TOOL Corporation; Toppan Photomask Co., Ltd.; UBC Microelectronics; Vistec Electron Beam GmbH; Xilinx and ZEISS. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit www.ebeam.org.

Agency Contact

David Moreno

Principal

Open Sky Communications

Tel: +1.415.519.3915

E-mail: dmoreno@openskypr.com


Free Newsletter

Get the latest analysis of new developments in semiconductor market and research analysis.

 

 
 
 

 

You must be a subscriber to access the Manufacturing Analysis reports & services.

If you are not a subscriber, you should be! Enter your email below to contact us about access.

The authoritative information platform to the semiconductor industry.

Discover why TechInsights stands as the semiconductor industry's most trusted source for actionable, in-depth intelligence.