Our mobile channel includes smartphones, tablets and phablets.
Our device selection is based on point of sale (POS) data and covers a variety of geographical centres. Teardown reports also include an in-depth cost estimate of the bill of materials (BOM).
Details on how the product is built
Innovative design features and supply chain relationships
In-depth cost estimate of the bill of materials (BOM) included
Available mobile devices teardown reports
Our Teardown reports use a standard format to help you quickly navigate each device report and find the data that’s important to you. Three types of teardown reports provide increasing analysis and insights:
Projected number of teardowns: 190 reports annually
Deep Dive Teardown Reports
Our mobile channel includes smartphones, tablets and phablets. Our device selection is based on point of sale (POS) data and covers a variety of geographical centres.
Our most exhaustive and popular type of teardown report, available across all channels.
A full product teardown that includes:
- ICs identification and costing
- A PDF report containing pictures of circuit boards with annotated ICs, major subassemblies, antennas
- Die photos for major ICs and tables for features description and dimensional data
- Costed bill of materials (BOM) spreadsheet
- RF block diagram
- System block diagram
Deep Dive report coverage is not limited to electronics, it also includes a BOM for all non-electronic parts, each of which is also costed, so we can provide a total cost for the product.
Survey Plus Teardown Reports
Available only for our Mobile Device Channel, and includes:
- A PDF report containing pictures of circuit boards with annotated ICs, major subassemblies, antennas
- Die photos for major ICs and tables for features description and dimensional data
- Costed bill of materials (BOM) spreadsheet
- RF block diagram
Survey Plus Reports are essentially a major electronics teardown where we catalog and identify ICs for all major functions.
Quick Turn Teardown Reports
Get a quick look before the final report is ready.
Quick Turn reports are meant to help you quickly identify the major IC wins at a system level.
- Costed bill of materials (BOM) spreadsheet
Access the data you need faster than ever
A subscription gives you the data you need - instantly. Using a high-speed search engine, you have access to our latest teardown data. View or download images and reports; compare products and determine the relationships between components and devices. With TechInsights' Teardown subscriptions, you’ll always be on top of our latest teardown data.
Search our analysis and website
Related Analysis | Manufacturer | Analysis Type | Subscription Channel |
---|---|---|---|
Deep Dive Teardown of the ZTE Axon 10 Pro 5G A2020N2 Smartphone | ZTE | Teardown | TD - Mobile |
Deep Dive Teardown of the Huawei Nova 4e MAR-AL00 Smartphone | Huawei | Teardown | TD - Mobile |
Deep Dive Teardown of the Huawei Mate 30 Pro LIO-AL00 Smartphone | Huawei | Teardown | TD - Mobile |
Deep Dive Teardown of the Huawei Honor 20 YAL-L21 Smartphone | Huawei | Teardown | TD - Mobile |
Deep Dive Teardown of the Lava Z51 Smartphone | Lava International | Teardown | TD - Mobile |
Deep Dive Teardown of the Xiaomi Mi Play M1901F9E Smartphone | Xiaomi Tech | Teardown | TD - Mobile |
Deep Dive Teardown of the OnePlus 7 GM1903 Smartphone | OnePlus | Teardown | TD - Mobile |
Survey Plus Teardown of the Xiaomi Redmi Note 8 Pro M1906G7E Smartphone | Xiaomi Tech | Teardown | TD - Mobile |
Deep Dive Teardown of the Samsung Galaxy Fold SM-F907N Smartphone | Samsung | Teardown | TD - Mobile |
Deep Dive Teardown of the Apple iPhone 11 Pro A2217 Smartphone | Apple | Teardown | TD - Mobile |
Recent News and Blogs

Webinar: The Rise of Automotive Subscriptions
This webinar will review the progress toward this vision thus far, the barriers to adoption, and the emerging opportunities for car makers, service providers, and other market sectors.
Webinar: Connected TV in 2023 and Beyond
This webinar will explore the burning issues that all Connected TV industry stakeholders should be focussed on as they look to drive growth against the backdrop of a weak economic environment. During this webinar, gain exclusive insight into expectations for 2023 and what the leading innovations are within the Connected TV space.Deep Dive Teardown of the Honda Accord 2023 Head Unit AH00ICB Automotive
The Honda Accord 2023 Head Unit 8A501-30A-AA10-M1 is a Cockpit Control Unit (CCU) and part of the infotainment system.Survey Plus Teardown of the Xiaomi 13 2211133C Smartphone
The 32 MP front camera on the Xiaomi 13 2211133C uses an OmniVisionOV32C image sensor. Three back cameras are also included.Withings' In-Home Connected Health Station "Body Scan": A UX Review
In this report, we review Withings' innovative and newly released "in-home connected health station" aka "Body Scan".OEM Hydrogen Fuel Cell Vehicles Strategies: Alternative Zero Emission Technology Chasing Battery Electric Vehicles
Hydrogen Fuel Cell Electric Vehicles (FCEVs) are one of the best options in terms of environmental impact.Embedded Voice Assistants in the Home - The Technology
The continued growth of voice recognition technology is inevitable and vendors of CE devices without embedded voice must consider building a Voice Assistant (VA) into their products.BlackBerry Launches its Platform for its Next-Generation Automotive Solutions
At BlackBerry's 2023 Analyst Day the company launched SDP 8.0, which is the foundational software platform for its next generation of solutions, designed for automakers' next generation of E/E architectures.Japan : Smartphone Shipments & Marketshare by Model: Q1 2023
Japan is the world's third largest smartphone market by value. It delivers high revenues to device makers, component suppliers and operators.MediaTek Gives Flagship Processor a Bump
MediaTek's new Dimensity 9200+ comes with modest increases in performance, but has big ramifications for the company's overall product portfolio and strategy especially in relationship to Qualcomm.Enterprise IoT Survey 2023 Country Report: Germany
TechInsights' IoT research channel runs an annual enterprise IoT survey with nine industry verticals across three countries.Amperex Technology Limited BP4D Pouch Li-Ion Battery (Xiaomi Mi 13 Pro) Battery Characterization
This report presents a battery characterization of the Amperex Technology Limited BP4D pouch Li-ion battery, extracted from the Xiaomi Mi 13 Pro.SunLune Technology P3J706-01 (Jasminer X4 ASIC) Stacked Embedded DRAM (SeDRAM) Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the UNIC DH40G DRAM die found inside the SunLune Technology P3J706-01.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Order activity increased for the first time this year
Order activity increased for the first time this year Shereen Vaux Order activity for semiconductor equipment increased for the first time this year to 40°. The increase was driven by Other SoC, which jumped nearly 4°. Generative AI has been gainingAutonomous Vehicle Trials Database
This database covers the key companies, government bodies and cities which are trialing autonomous vehicle technologies and infrastructures for further research and development.Space – The Growing Frontiers
Space is a major growth area with many worldwide initiatives underway across both the defence and civil domains.Smart TV Vendor Market Share: Q1 2023 (30 Countries)
Global Smart TV shipments declined by 3% in Q1 to reach just over 41.6 million units.US Fiber-to-the-Premises (FTTP) - Premises Passed 2Q 2023
The US is enjoying a boom in FTTP deployments, driven by unmet consumer and small business needs for high-speed broadband Internet, government and private investment and competitive dynamics.Apple M2 Pro APL1113SoC Processor TSMC N5P FinFET HKMG CMOS Process Digital Floorplan Analysis
This report presents a Digital Floorplan Analysis of the Apple TMNM31 die found inside the Apple M2 Pro APL1113, which contains the TMNM31 die and four DRAM dies. Launched in Q1 2023, the Apple M2 Pro is an SoC processor offering power-efficient performance and extended battery life.SunLune Jasminer X4 Near Memory Etherium Miner with Wafer-to-Wafer Hybrid Bonding Advanced Packaging Essentials
This report provides a deeper insight on the advanced packaging innovations used in the manufacturing of the JASMINER X4 ASIC bitcoin miner chip.STMicroelectronics ISP Die from VB56G4A 1.5 MP Resolution 2.61 μm Pixel Pitch CIS Camera Module Standard Floorplan Analysis
This report presents a standard floorplan analysis (FAR) of the STMicroelectronics ISP Die from VB56G4A 1.5 MP resolution, 2.61 μm pixel pitch CIS camera module.AMD Ryzen 9 7950X3D Processor TSMC SoIC Package Technology Advanced Packaging Quick Look Analysis
This report presents an advanced packaging quick look analysis (APQ) of the AMD Ryzen 9 7950X3D (with second generation 3D V-Cache) processor TSMC SoIC package technology.Smartphone Display Panel Market Share Q1 2023: BOE Gains OLED Share and Leads Shipments
The global smartphone display panel market revenues declined 12 percent year-over-year in Q1 2023.North America Smartphone Vendor & OS Market Share by Country: Q1 2023
Growth in the North American smartphone market declined in dramatic fashion in Q1 2023, extending a slide that began mid-2022.Six of the Top-10 brands recorded negative annual shipment growth.Analysis: Google Plots a Different Course in Tablet Segment
Global smartwatch shipments declined for the second consecutive quarter during Q1 2023, after Q4 2022 marked the first YoY decline since 2016 as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Global Smartwatch Vendor Market Share by Region: Q1 2023
Global smartwatch shipments declined for the second consecutive quarter during Q1 2023, after Q4 2022 marked the first YoY decline since 2016 as consumers reigned in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.Survey Plus Teardown of the Honor Magic5 PGT-AN00 Smartphone
The Honor Magic5 is the fifth generation from the Honors Magic series. Like its predecessor the Honor Magic4, this device is based on the Qualcomm platform.Deep Dive Teardown of the Samsung SmartThings Station EP-P9500 Smart Home Hub
Samsung has upgraded its last Hub Device. The new hub uses the latest Zigbee as well as Bluetooth 5.0.Samsung S5KHP3SP 1/1.4”, 200 MP, 0.56 μm Pixel Pitch, Back-Illuminated, ISOCELL Tetra2pixel and Super QPD CMOS Image Sensor from the Honor 80 Pro Rear Wide-Angle Camera
This report presents a device essentials summary (DEF) of the Samsung S5KHP3SP 200 MP, 0.56 µm Pixel Pitch, back-illuminated, ISOCELL Tetra2Pixel Super QPD CMOS image sensor, extracted from the Honor 80 Pro rear wide-angle camera.India ADAS Market 2023: Safety Becoming a Priority
Automakers in India are offering vehicles with more ADAS features due to consumer demand and government mandates.Murata NJ23-A1 Temperature Compensated Surface Acoustic Wave (TC-SAW) Filter (Murata HFQRXxxxx-K95) Process Analysis
This report presents a process analysis (AFS) of the Murata NJ23-A1 temperature compensated surface acoustic wave (TC-SAW) filter from the Murata HFQRXxxxx-K95 RF front-end module.
Sunwoda-Amperex Technology Limited (ATL) A2519 Lithium-Ion Battery Pack
This report presents an analysis of the Amperex Technology Limited (ATL) A2519 battery found in the Apple MacBook 14” Pro 2023 laptop.
Sony IMX500
The Sony IMX500 is an image sensor that detects, recognizes, and classifies objects and events independently, without relying on a cloud or server connection. A game-changer in the market of mobile processors and AI video processors.Nordic Semiconductor nRF7002 Wi-Fi 6 Companion IC Basic Floorplan Analysis
This report presents a Basic Floorplan Analysis of the Nordic Semiconductor TMQJ42 found inside the Nordic Semiconductor nRF7002. The Nordic Semiconductor nRF7002 Wi-Fi 6 Companion IC is a QFN package.
Texas Instruments LMG2610 650 V GaN Half-Bridge Power IC Power Floorplan Analysis
The LMG2610 device is a half-bridge composed of 650 V gallium nitride (GaN) high-electron-mobility transistors (HEMTs) that is highly integrated and designed to be used in active-clamp flyback (ACF) converters.
Gate-All-Around Cranks Up Logic Speed
Nanosheet transistors are superior to FinFETs for logic transistors, offering an adjustable gate width, superior electrostatic characteristics, and better channel-thickness uniformity as well as faster switching speed. They have drawbacks for SRAM and I/O transistors, however.
Snapdragon 7+ Gen 2 Uses 8+ Gen 1 Die
Qualcomm announced a Snapdragon 7+ Gen 2 that reuses the premium Snapdragon 8+ Gen 1 die with altered specs. Market conditions left the company with excess inventory that it’s moving into the midpremium market.
Axiado Rethinks Server Security, Control
Axiado combined server security and management functions into a single chip to which it added AI acceleration to thwart ransomware.
TSMC’s 2023 Technology Symposium
TSMC’s 2023 Technology Symposium G. Dan Hutcheson The Chip Insider® Summary: TSMC’s 2023 Technology Symposium: The bigger message was more about the world’s new recognition of semiconductors and their importance than it was about TSMC’s forward march
AI Gold Rush Also Boosts Networking
One of the biggest beneficiaries of California’s 1849 gold rush wasn’t a miner, but Levi Strauss, who famously sold the miners clothing.
AMD Navi 31 XTX Graphics Processor Chiplets on Fan-out Redistribution Layer Package
This is an Advanced Packaging Quick Look (APQ) summary document for the AMD Navi 31 XTX graphics processor, provided as a companion deliverable for APQ-2303-802 projects.Analysis: India Smartphone Market Share by Channel by Vendor: Q1 2023
India smartphone market declined by -18% annually in Q1 2023. Samsung topped and was followed by Vivo and Xiaomi in second and third rankings respectively.United Kingdom: Smartphone Shipments & Marketshare by Model: Q1 2023
The United Kingdom is Western Europe's second largest smartphone market.Q1 ’2023: Lenovo-Motorola: Eyes Premium and Enterprise Segment
Lenovo-Motorola’s global smartphone shipments declined -7% YoY in Q1 2023. Wholesale revenue declined double of shipments (-14% YoY) as wholesale ASP too declined -7% YoY.ADAS Mandate NCAP Tracker
This tracker is a top-level summary of the various mandates and New Car Assessment Program (NCAP) incentives and requirements that are either currently implemented or proposed across the globe.Analysis: Global Foldable Display Smartphone Shipments by Region and Type Q1’2023
Foldables remain a niche segment, and while Samsung holds a narrow lead, competition is already threatening its dominance.Global Foldable Display Smartphone Vendor Market Share by Design Type: Q1 2023
Samsung was the leader in foldable display smartphone shipments in Q1 2023, followed by Huawei and OPPO.Global Foldable Display Smartphone Vendor Market Share by Region: Q1 2023
Samsung was the leader in foldable display smartphone shipments in Q1 2023, followed by Huawei and OPPO.
MediaTek MT6639 is Wi-Fi 7 ready!
Given the benefits of higher throughput and speeds available in Wi-Fi 7, market leaders such as Qualcomm and MediaTek are looking to ensure their systems on chips (SoCs) are Wi-Fi 7 ready/compliant.
Vivo IQOO Neo 7 V2231A Smartphone
Released in October 2022, the Vivo IQOO Neo7 has been equipped with a new generation processor –the MediaTek Dimensity9000+ (4nm technology) providing the efficient operation of this device. In comparison to the 9000 version, it has a 5% increase of CPU power and a 10 % increase of GPU power.Baseband Market Share Tracker Q1 2023: Qualcomm, MediaTek takes big hits but bottom is in sight
This report tracks the quarterly cellular baseband unit shipments, ASPs and revenues of 21 baseband chip vendors across six air interface technologies and includes data from Q1 2008 to Q3 2023.
SMC Diode SolutionsS2M0040120K1200 V 40 mΩ SiC MOSFET Transistor
This report presents a power floorplan analysis (PFR) of the SMC Diode Solutions S2M0040120K 1200 V 40 mΩ first generation SiC MOSFET transistor.India Smartphone Market Share by Channel by Vendor: Q1 2023
India Smartphone market declined by -18% annually in Q1 2023. Samsung, Vivo and Xiaomi were the top three vendors.BlackBerry Analyst Day 2023: BlackBerry Launches its Platform for its Next-Generation Automotive Solutions
At BlackBerry’s 2023 Analyst Day the company launched SDP 8.0, which is the foundational software platform for its next generation of solutions, which are designed for automakers’ next generation of E/E architectures.Global 5G Business Smartphone Shipment Forecast 2023-2028
TechInsights predicts 5G business smartphone shipments will grow at a compound annual growth rate (CAGR) of 17% over the 2023-2028 forecast period.Japan Handset Vendor Marketshare by Operator: Q1 2023
Japan handset shipments declined by -11% annually in Q1 2023.Global Wearables Vendor Market Share: Q1 2023
The race for the top wearables spot continues as Apple, Huawei, and Samsung battle it out.Smartphone Fingerprint Sensor Market Share 2022: Double-Digit Decline in Revenue, FoD share Grows
The global smartphone fingerprint sensor market experienced a revenue decline of more than 15 percent in 2022.USA: Smartphone Image Sensor Market Share Q1 2023: Sony Dominates Ranking, Exceeds 55 Percent Share
The global smartphone image sensor market crossed USD 3 Billion in Q1 2023.
With 2H23 right around the corner, will the rebound happen?
With 2H23 right around the corner, will the rebound happen? Shereen Vaux Order activity for semiconductor equipment continued to hit new lows. Mobile Compute and Digital Consumer segments led the decline, reflecting persistently weak end demand. On a
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
THE HIGHEST- RATED SUPPLIERS OF TEST CONNECTIVITY SYSTEMS ⃰ - PROBE CARD SUPPLIERS
Technoprobe and FormFactor earn 5 Stars from customers as the 2023 Highest-Rated Test Connectivity Suppliers in the TechInsights Customer Satisfaction Survey.Embedded Voice Assistants in the Home: The Technology
The continued growth of voice recognition technology is inevitable and vendors of CE devices without embedded voice must consider building a Voice Assistant (VA) into their products.
Sony CXD5610GF GlobalFoundries 22FDX eMRAM Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the GlobalFoundries CXD5610_die found inside the Sony CXD5610GF.
Cissoid CMT-PLA9869 1200 V 40 mΩ SiC Floorplan Analysis
This report presents a Power Floorplan Analysis of the CISSOID CMTPLA9869 device.
Skyworks BA027Film Bulk Acoustic Resonator (FBAR) Acoustic Filter from Skyworks 53838-17 RF Front End (RFFE) Module Process Analysis Report
This report contains the results of the process analysis of the Skyworks FBAR filter die BA027 from the Skyworks SKY53838-17 RFFE module.onsemi AR0822, 1/1.8”, 8.0 MP Resolution, 2.0 μm Pixel Pitch Back-Illuminated CMOS Image Sensor for Security and Surveillance Applications Device Essentials Summary
This is a Device Essentials Folder for the onsemi back-illuminated CMOS image sensor (CIS) with high dynamic range (HDR) for security and surveillance applications.Deep Dive Teardown of the Samsung Galaxy Book 3 Pro 940XFG-KC2 Laptop
The Galaxy Book3 Pro features a Glass AMOLED display supplied by Samsung. The 14-inch display panel offers up to 3K resolution (2880x1800).Deep Dive Teardown of the Magic Leap Magic Leap 2 M90AA004 AR Headset
The Magic Leap 2 is a pair of adjustable augmented reality googles designed to minimize pressure on the user’s eyes and nose.Canada Smartphone Vendor Marketshare by Operator: Q1 2023
Smartphone shipments in Canada contracted slightly in Q1 2023 compared to the year-ago quarter, with four of the leading vendors seeing shipments improving year-on-year.Samsung Levelling Up Their Smartwatches With Medical-Grade Heart Monitoring
In this report, we examine the recent announcement made by Samsung regarding the inclusion of an FDA-cleared Irregular Heart Rhythm Notification feature (IHRN).ADAS Semiconductor Demand Forecast - May 2023
This update sees small changes to the underlying vehicle production forecast - which are slightly negative in the medium to long-term.Advanced Driver Assistance Systems Forecast - Data Tables - May 2023
This update shows a slightly better ADAS outlook than previously expected, despite a lower vehicle production forecast.Advanced Driver Assistance Systems Forecast - May 2023
This update shows a slightly better ADAS outlook than previously expected, despite a lower vehicle production forecast.USA: Smartphone Shipments, Marketshare & Wholesale ASP by Model: Q1 2023
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators.Shanghai Auto Show 2023: Automotive Cockpit Intelligence and the Convergence of Smartphones and Cockpits are Key Themes
The 2023 Shanghai Auto Show was held April 18-27. Exhibitors included OEMs, automotive suppliers and other companies in the market.Analysis: Top 10 US Interactive Security Providers – May 2023
This report is TechInsights annual compilation of the Top 10 providers of Interactive residential security services in the US.Survey Plus Teardown of the Xiaomi Redmi K60 23013RK75C Smartphone
The Xiaomi Redmi K60 23013RK75C is a mid-range smartphone based on the Qualcomm platform.
Semidynamics Performs RISC–V Surgery
Semidynamics Performs RISC–V Surgery Semidynamics offers fully customized RISC–V CPUs for clients requiring capabilities beyond what’s readily available. The ability to maintain many outstanding memory requests boosts performance for applications
Broadcom Retargets Jericho at AI Clusters
Broadcom is challenging the conventional topology linking AI engines in training supercomputers/clusters, offering a version of its Jericho Ethernet switch chip set for AI.
Hailo Releases Second-Generation Chip
Israeli startup Hailo has released the Hailo 15, its second-generation chip for smart cameras. Hailo 15 is a standalone processor with an AI engine and comes in three variants ranging from 7 to 20 TOPS.
Everyone's looking for the bottom (May Update)
Everyone's looking for the bottom (May Update) Shereen Vaux Order activity for semiconductor equipment continued to trend lower, slipping to a new low for the year. Overall activity has fallen below the 2019 lows and it’s still looking for the bottom
Video Series: Embedded and Emerging Memory
Interested in all things emerging and embedded memory? Don’t miss this video series featuring Dr. Jeongdong Choe.Analysis: PC & Tablet Market Declines in Q1 as Leaders Lenovo and Apple See Largest Drop
This field is used for the Short Desc in the eStore Report Store Item.In the first quarter of 2023, total shipments of tablets and notebook PCs declined a combined -25%.VALUE SHARE: Global Smartphone Revenue, ASP and Profit by Vendor by Price Tier: Q1 2023
Global smartphone industry wholesale revenue declined -5% YoY due to smartphone shipments which fell -14% YoY in Q1 2023, while smartphone ASP grew +10% YoY reaching the record high first quarter performance.USA: Viaplay Expands Addressability with Roku
When Viaplay decided to expand to the U.S., Canada, and the U.K. that was the easy part. The real challenge lay in getting the various connected TV (CTV) device manufactures to integrate the Viaplay app into their devices.USA: Smartphone Shipments & Marketshare by Model: Q1 2023
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators.USA Handset Vendor Marketshare by Operator: Q1 2023
Handset shipments in the United States slumped year-on-year in Q1 2023. Apple led across major carriers, followed by Samsung, which led Android, followed by Motorola, and TCL-Alcatel.Handset Revenue & ASP By Vendor by Region: Q4 2022
Global Wholesale Handset industry revenues declined by -12% annually in Q4 2022. Apple, Samsung, and OPPO were the top three vendors.USA Smartphone Vendor Marketshare by Operator: Q1 2023
This field is used for the Short Desc in the eStore Report Store Item. Smartphone shipments in the United States were sharply down on annual basis in Q1 2023.VR Headset Forecast by Device Type 2014-2028 1Q 2023 Publication
TechInsights expects the VR headset market to be worth just under $10B and grow to an installed base of 65 million units by 2028.Q1 '2023: OPPO (OnePlus): OnePlus Brand Resilient
OPPO (OnePlus)’s smartphone shipments went down -7% YoY in Q1 2023. It was the six quarter in a row that the vendor posted annual decline.
Q1 '2023: Transsion: Triple Digit Growth in Central Latin America
Transsion’s global smartphone shipment decline -12.6% YoY, along with the total handset shipment went down -4.6% YoY.
Deep Dive Teardown of the PlayStation VR2 CFI-ZVR1 VR Headset
The Sony PlayStation VR2 is a second-generation VR headset by Sony, dedicated for use with PlayStation consoles.
Automotive LiDAR GaN Design Wins
Light detection and ranging (LiDAR) is a technology with huge growth potential. The first concepts were introduced in the 1960s, shortly after the invention of the laser, and the recent opportunities in surveying, aerospace, and autonomous vehicles have really driven growth.
THE BEST Semiconductor Equipment Supplier Rankings for 2023
Congratulations to THE BEST Suppliers of 2023. Here is what Customers rated them best at and how they are rated overall.
Qualcomm HB15I ultraBAW Bulk Acoustic Wave (BAW) Acoustic Filter from Qualcomm QPM6679 5G RF Front End Module Process Analysis Report
This report contains the results of the process analysis of the Qualcomm bulk acoustic wave (BAW) resonator acoustic filter die HB15I from the Qualcomm QPM6679-1V3.
Global Handset Vendor Marketshare for 15 Countries : Q1 2023
Global handset shipments declined significantly again by -18% annually in Q1 2023, impacted by many unfavorable issues including sluggish consumer demand, geo-political issues, and rising inflation across the world.
SonyIMX500, 1/2.3”, 12.3 MP, 1.55 μm Pixel Pitch Stacked Back-Illuminated Exmor RS CMOS Image Sensor with Embedded Artificial Intelligence for Machine Vision Device Essentials Summary
The IMX500 sensor is intended for use in enterprise-class security cameras and monitors in industrial equipment systems.
10 BEST Semiconductor Equipment Supplier Rankings for 2023
Advantest, ASML, ASMPT Limited, FormFactor, and EV Group earn TechInsights' Five-Star Ratings in the 2023 10 BEST.
Recovered Cobalt in Rechargeable Batteries
A Game-Changer for Sustainability or a Compromise on Performance?
TCL LinkHub 5G HH515V Router
The TCL 5G, WiFi6 home link hub is designed basis on FibocomFG360-EAU 5G Communication Module prepared in line with Europe market regulations.
VR Headset Platform Share 2014-2022
This report examines the share of shipments, installed base, and revenues for each of the major platforms. These include Google Cardboard, Daydream, Samsung Gear VR, HTC, Oculus, Windows MR and Sony PSVR.
SK Hynix D1a EUV 16 Gb DDR5 DRAM Transistor Characteristics
This report presents Key DC characteristics for NMOS and PMOS transistors located in the word line drivers and sense amplifiers regions of the SK Hynix MDHD5C20101die found inside the SK Hynix H5CG48AGBD-X018 DDR5 SDRAM package.
Micron 2400 MTFDKBK2T0QFM SSD
The Micron 2400 SSD is the world’s first 176-layer QLC NAND based PCIe Gen 4.0 x 4/NVMe 1.4 SSD.
Apple HomePod 2nd Gen A2825 Smart Speaker
The Apple HomePod 2nd Gen A2825 is a voice-interactive smart speaker and home assistant. Compared to the A16339, the has seven microphones (one more than the A1639) and beam-forming technology allows the user to perform a wide range of actions by using voice commands.
Samsung K3KL4L40DM-BGCT LPDDR5X DRAM Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the Samsung K4L2E165YD die found inside the Samsung K3KL4L40DM-BGCT.
MediaTek Dimensity 9200 Application Processor TSMC 4 nm
This report presents a Digital Floorplan Analysis of the AHJ11296B die found inside the MediaTek MT6985W application processor. The MediaTek MT6985W was extracted from a Vivo X90 Pro 5G smartphone.
Apple iPhone Shipments by Model: Q2 2007 to Q1 2023
Apple global iPhone shipment marginally declined -4% annually during Q1 2023, overperforming overmarket which went down -14% YoY.
U-Bolt Pro Smart Lock
Can Lower-Cost Smart Locks Open the Door to a $4.4B Smart Lock Market? Our ebook highlights the broad range of content available to TechInsights’ clients across our User Experience, Teardown, and Market Analysis capabilities.
Was the 2021 chip shortage real?
Was the 2021 chip shortage real? G. Dan Hutcheson The Chip Insider® Summary: Was the 2021 chip shortage real? This is the story of how the COVID Pandemic morphed into a Shortage Fever Pandemic, as the pandemic led to a shortage which turned into a
TPUv4 Interconnect Cuts Cost, Power
Google employs optical interconnect when building a 4,096-node AI supercomputer featuring its TPUv4 accelerator chip. The result is much higher potential performance coupled with a sharp drop in carbon emissions.
Dimensity 9200 Gets a Boost
The Dimensity 9200+ is a midlife kicker for MediaTek’s flagship smartphone processor, offering 5–10% more CPU and graphics performance than the original 9200. The company also renamed its low-end 5G products.
Component Price Landscape: First-Quarter 2023 Report
TechInsights is pleased to present the findings of the first-quarter 2023 report on The Component Price Landscape (CPL). This report provides valuable insights into the current state of the global macroeconomy and the electronics industry.
Q1 2023: Uber Approaches Profitability, Lyft Struggles
Uber’s results reflect a clever strategy of hedging against weaknesses in driver recruitment and retention as well as weakness in demand. Lyft has turned to layoffs to compete with Uber almost exclusively on price.
Order Activity Continues to Cool
Order Activity Continues to Cool Shereen Vaux Order activity for semiconductor equipment slipped a degree to a cold 41°. All segments moved lower as end demand remains weak, resulting in a slower pace of inventory digestion. In the mobile market
Design Costs too high? What’s after Moore’s Law?
Design Costs too high? What’s after Moore’s Law? G. Dan Hutcheson The Chip Insider® Summary: Are Semiconductor Design Costs too high? Since the seventies, there have been two common themes about cost leading to the destruction of the semiconductor
Flex Logix Drops Chips, Sticks With IP
Flex Logix Drops Chips, Sticks With IP Flex Logix is changing its business model, opting to offer its InferX block as IP and ceasing chip-building operations. It’ll serve chipmakers requiring either AI inference or DSP capability. Bryon Moyer Flex
Google Discloses TPUv4 Details
Google Discloses TPUv4 Details Google’s TPUv4 excels at AI models employing embeddings owing to its sea of SparseCores that supplement its two main cores. Targeting inference, the TPUv4i has only a single larger core to reduce power. Joseph Byrne
Synaptics Katana Targets Edge AI
Synaptics has launched its AI-enabled Katana processor for battery-operated edge devices in the security, biometrics, and smart-appliance markets. The chip includes an Arm CPU with a Tensilica DSP and a custom neural-network accelerator.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Innoscience INN40W08 40 V Bi-Directional GaN
Gallium nitride (GaN) power semiconductor technology is disrupting the market in consumer electronics. This is a landmark moment in the success of wide bandgap technology and a further reputation boost to the reliability of a GaN device.
Order activity remains frigid
Order activity remains frigid Shereen Vaux Order activity for semiconductor equipment held at a cold 42°. SoC Mobile and SoC Digital Consumer continued to weaken. Subcon/Advanced Packaging hit a new low for the year as visibility remains low. Memory
China’s Semiconductor Strategy
China’s Semiconductor Strategy G. Dan Hutcheson The Chip Insider® Summary: China’s Secret Semiconductor Strategy & Tactics: As everyone knows, China’s public Semiconductor Strategy rests on Made in China 2025 and mil-civ fusion. The first was
Nordic, Silicon Labs Refresh Wireless SoCs
Nordic Semiconductor and Silicon Labs have updated their wireless multiprotocol SoCs for home and factory systems. Nordic improves the processing and radio, while Silicon Labs fills a package-size gap in its lineup.
TI Rolls Out Vision-Analysis Processors
Texas Instruments has launched Arm-based embedded processors for video analysis. They integrate the company’s proven C7x DSP and matrix unit to accelerate AI.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
It’s Going to be a Cold and Rainy Spring
It’s Going to be a Cold and Rainy Spring Shereen Vaux Order activity for semiconductor equipment fell by another point, hitting a new record low for the year. All segments moved lower as sentiment continued to weaken. ASML delivered another strong
SNUG, Inflation and our Industry
SNUG, Inflation and our Industry G. Dan Hutcheson The Chip Insider® Summary: SNUG: The Synopsys users group symposium … Synopsys decision, leadership, and management processes around integrating AI … HI and Chiplet Advances … How chiplets will grow
A Closer Look at the Motorola Edge 2022 (XT2205-3M)
The First FR2-capable Smartphone Built with MediaTek’s SoC chipset (Dimensity 1050)
Chatbots Can’t Yet Replace Analysts
Chatbots Can’t Yet Replace Analysts ChatGPT and other chatbots have raised concerns that they could replace humans. We analyze their relevance to the task of analysis and explain their inadequacies. Anand Joshi Chatbots have created a stir over
Startup Lemur Reduces RAM Requirements
Lemur Imaging’s LMR technology compresses image data by up to 50% with no visually perceptible loss in typical cases. A guaranteed compression ratio lets chips function with smaller image buffers.
Huge Hot Hopper Exhibits Energy Efficiency
The Version 3.0 MLPerf Inference results show data-center AI engines making gains relative to tests from six months ago. Nvidia continues post the highest scores, but Qualcomm achieved power-efficiency leadership on a couple tests.
It's always the darkest before dawn
It's always the darkest before dawn Shereen Vaux Order activity for semiconductor equipment held at a cold 43˚. There was no discernable change in activity among segments last week, as visibility for equipment suppliers remains limited. There were
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Oura Ring Gen 3 Smart Ring eBook
Our e-book highlights the broad range of content available to TechInsights' clients across our User Experience, Teardown, and Market Analysis capabilities.
China’s Tech standards and foreign IP challenges
China’s Tech standards and foreign IP challenges G. Dan Hutcheson The Chip Insider® Strategy and Tactics: China’s Tech standards and foreign IP challenges Summary: Can China be successful with a standards wall strategy? Why China doesn’t respect
Ambarella CV72S Targets Security
Ambarella has launched the new CV72S, incorporating its third-generation CVflow architecture with a neural-network accelerator and hardware image signal processor. The chip targets high-end security cameras and adds radar support.
PUFs Generate Native Keys to Secure SoCs
Physically unclonable functions (PUFs) create externally inaccessible keys from unique chip characteristics. They can increase security and reduce the cost of provisioning hardware roots of trust.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Webinar: Wi-Fi 6 and 5G Cellular Technologies
In this webinar, followed by a live Q&A session, TechInsights’ Subject Matter Expert for Mobile RF and IoT, Radu Trandafir, will examine industry trends impacting the potential scenarios for these two wireless technologies.
Disruptive Event- Qualcomm leading the Wi-Fi Wave with FastConnect 7800
TechInsights discovered Qualcomm’s first system-on-chip (SoC), the FastConnect 7800 WCN7851, ready for Wi-Fi 7 and compliant with Bluetooth (BT) 5.3, in the Xiaomi 13 Pro 5G smartphone. Qualcomm has introduced its latest SoC chip, the 7800, in the FastConnect series.
NAND spot prices led the decline last week
NAND spot prices led the decline last week Shereen Vaux Order activity for semiconductor equipment slipped a degree to a frigid 43°, with memory and subcons slipping further. March results are in for the Taiwanese companies and it’s clearly still
Finding meaning in Gordon Moore’s passing
Finding meaning in Gordon Moore’s passing G. Dan Hutcheson The Chip Insider® Finding meaning in Gordon Moore’s passing: By now, I’m sure you’ve read many obituaries about his life and accomplishments. The volume of articles that exploded over the
Arm Aims to Alter Business Ahead of IPO
To drum up revenue, Arm is considering whether to require OEMs to directly license the right to use Arm-based chips in their designs. However, Arm risks affecting its long-term business prospects by appearing capricious and greedy.
Nvidia Slips out Grace Details
Nvidia disclosed more details of its Grace processor, which can combine with its Hopper GPU or run by itself in servers. Now due in 2H23, the Arm-compatible chip is more efficient than Intel’s flagship Xeon.
AMD Extends Genoa Into Embedded
The Epyc 9004 embedded products, deriving from the Genoa server processor, offer 16 to 96 cores. AMD is generous with cache, DDR5 channels, and PCIe lanes, but it lacks application-specific features.
Graphcore Shows More WoW at ISSCC
Graphcore has revealed how it hybrid bonds a deep-trench-capacitor die and AI accelerator, describing manufacturing techniques and a voltage-swing reduction. A Shmoo plot shows how adding the capacitor die can cut power or boost the clock rate.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Disruptive Event - China breaks through restrictions with advanced chiplet strategy
TechInsights' latest groundbreaking findings on the Jasminer X4 unveil the exciting prospects of advanced packaging.
Webinar: The Samsung Galaxy S23 Ultra - Inside the Flagship Device
In this exclusive webinar, our experts will deliver an in-depth analysis of the components within this device, highlight what changed from previous versions, and forecast how this might impact other smartphone and parts manufacturers. Our market analysts will also share their forecasts and industry insights for Samsung and other industry leaders within the ever-competitive smartphone marketplace.
DRAM spot prices are continuing to fall
DRAM spot prices are continuing to fall Shereen Vaux Order activity for semiconductor equipment held at a cold 44° with memory slipping further into freezing temperatures. Geopolitical concerns are ramping up; Japan is the latest country to put
Disruptive Event - Nvidia Hopper H100
The Nvidia Hopper H100 has introduced innovative features and enhanced scalability, promising even greater improvements for training large networks.
NXP PN7642 Provides Single-Chip NFC
The new PN7642 is the first fully integrated NFC solution that includes programmability, NFC RF, and security. It implements closed-loop and other non-payment systems.
Marvell Switches On Teralynx 10
Marvell’s new Teralynx 10 (TX9180) switch IC delivers throughput of 51.2 Tbps, four times that of Teralynx 7. Separately, the company’s Nova optical DSP is the industry’s first Ethernet PHY to reach 1.6 Tbps.
Battery Longevity: What’s Polymeric Tape Got to Do with It?
TechInsights dives into the self-discharge characteristic of the ATL-BP45 batteries of the Xiaomi Mi 12 Pro to examine the effect of polymeric tape on the battery’s longevity.
Memory Inventories Continue to Mount
Memory Inventories Continue to Mount Shereen Vaux Order activity for semiconductor equipment slipped to a cold 44° with all segments slipping further. All eyes were on Micron today as it held its earnings call to announce its quarterly results
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Snapdragon X75 Modem Improves Uplinks
Part of a radio subsystem, Qualcomm’s Snapdragon X75 modem for 5G simplifies boards by interfacing with a better-integrated RF transceiver. It also increases performance through more AI horsepower, enhanced uplink technology, and denser QAM.
Agilex 5 Floods FPGA Midrange
Intel has refreshed its midrange FPGAs, challenging market newcomers. The new family updates the fabric, CPUs, and DSPs while expanding the Agilex line.
Think Silicon GPU Accelerates Vectors
Think Silicon is among the few companies developing low-cost, low-power GPU IP, offering cores to accelerate 2D, 2.5D, and 3D graphics. The new Nema Pico VG adds support for vector graphics, enabling slick GUIs.
Can Samsung S23 Ultra Revive the Smartphone World?
The smartphone market experienced record-low shipments in 2022. Many smartphone manufacturers are looking to revive the market in 2023 and beyond, and Samsung’s recently released S23 Ultra shows promise to help with this revival.
SPIE ALP. Inventory out of control.
SPIE ALP. Inventory out of control. G. Dan Hutcheson The Chip Insider® SPIE Advanced Lithography and Patterning 2023: This is the penultimate conference on advanced lithography. Like many things, it goes through cycles of emergent behavior
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics report
What can you learn from acoustic wave filter market leaders?
Radio design is characterized by tighter module integration with increased number of filters, switches, antenna tuners, and directional couplers.
Get an Exclusive Look at the Samsung S23 Ultra
Watch an exclusive sneak-peek video of our experts tearing down Samsung’s latest flagship smartphone release, the Samsung Galaxy S23 Ultra. Get an initial preview of the device’s technology components like the impressive 200-megapixel camera that gives users incredible photo quality rarely seen in the smartphone market.
TechInsights Uncovers Telsa’s Third Terminal
Curious about the latest breakthroughs in battery technology? Look no further than Tesla’s new 4680 battery cells. These innovative cells are designed to not only be larger and more energy dense, they also have a hidden feature: three terminals instead of the standard two.
NXP Offers Watermarks for AI Models
Trained machine-learning models can be valuable, and techniques have been developed to identify them in case of theft. NXP has introduced a tool that implements a straightforward approach to watermarking image-classification neural networks.
ST Tightens MCU Security
The STM32H5 family updates STMicroelectronics’ high-performance-MCU line with additional security. Installing the new Secure Manager software on the flagship model can yield a complete security suite with no required coding.
Marvell Adds Fusion Models to Octeon 10
Octeon 10 Fusion combines Arm Neoverse N2 CPUs, packet-processing hardware, DSPs, and in-line wireless accelerators. Targeting 5G infrastructure, it’s the only merchant-market integrated base-station processor for macrocells.
Accelerate your process with TechInsights' Logic Packaging Analytics
Are you finding the SoC and ASIC design challenging and time? It takes tremendous time and effort to build up knowledge of the industry, your competitors, your target market, and the product strategies of various SoCs. You may also need to understand critical competitive product benchmarks to achieve design efficiency and differentiation and to enhance your product's performance while optimizing for cost.
Rising macro uncertainties are impeding the prospects of 2H23 recovery
Rising macro uncertainties are impeding the prospects of 2H23 recovery Andrea Lati Order activity for semiconductor equipment remained steady at 45°. Both chipmakers and equipment suppliers are exercising caution regarding their near-term prospects
Disruptive Event - MediaTek’s Dimensity 1050 chipset AiP
Following up on MediaTek’s strong entry into the 5G mmWave market with their Dimensity 1050 chipset, TechInsights has discovered an innovative mmWave antenna array design in their MT6107O antenna-in-package (AiP) as used in the Moto Edge 2022, model XT2205-3 (Verizon edition), and not previously seen in AiP designs from Qualcomm, Murata, and Apple.
Grab your jackets! It's going to be a cold Spring! (March Update)
Grab your jackets! It's going to be a cold Spring! (March Update) Shereen Vaux Order activity for semiconductor equipment slipped to a chilly 45°. There were some notable changes made to our forecasts this month. The February data are in from the
Sustainability Panel at SPIE ALP
Sustainability Panel at SPIE ALP G. Dan Hutcheson The Chip Insider® Sustainability Panel at SPIE ALP: Why me? Which was my first question when they asked me to run this panel. As you know, until recently, I have considered sustainability to be a “boy
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics report
Webinar: Minimizing Battery Degradation in Smartphones
This webinar reviews the existing industry fast charging techniques used by Apple, Samsung, and Xiaomi in their recent flagship smartphones. It was observed that these smartphones benefit from adaptive charging algorithms to suppress battery degradation, which would generally result from the high applied current.
Chiplet Technology Advances
Packaging technology goes hand in hand with chiplets. Examples include basic PCB-based 2D structures, passive silicon interposers and bridges for 2.5D packages, 3D packages employing active interposers, and hybrid bonding.
Generative AI on a Phone?
Generative-AI models such as ChatGPT are typically large and run only in the cloud, but Qualcomm recently demonstrated a smaller image-generation model called Stable Diffusion performing inference on a smartphone.
Ceva XC20 Enables Vector-Unit Sharing
The new Ceva XC20 architecture implements simultaneous multithreading in a vector DSP. The first product to use it, the XC22 more than doubles area efficiency compared with Ceva’s previous DSP cores.
25th Anniversary Issue of The Chip Insider. Why China will fail.
25th Anniversary Issue of The Chip Insider. Why China will fail. G. Dan Hutcheson The Chip Insider® 25 Years Ago Today, I started a new e-mailing to subscribers to address the increasing need for instantaneous analysis of events in a time when market
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
It’s cold out there as we head into Spring
It’s cold out there as we head into Spring Shereen Vaux Order activity for semiconductor equipment slipped a degree to a brisk 45 degrees All segments remain at temperate temperatures, with the exception for Memory which remains at freezing levels
IoT Predictions for 2023
The Mobile channel at TechInsights recently published the annual IoT Predictions for 2023. TechInsights have identified 3 key technology trends and 2 key strategic trends which we expect will shape the IoT market in 2023 and beyond.
Perceive’s Ergo 2 Supports Transformers
Perceive has launched its second-generation AI SoC, Ergo 2, offering 3–4x higher performance than the previous generation. The new chip targets applications such as security, retail analytics, and visual inspection.
Dimensity 7200 Adopts Newest Arm CPUs
MediaTek’s new processor for mid-premium smartphones offers leading-edge 4 nm and Cortex-A715 technology. The company also introduced its first satellite-communications chip, allowing customers to match Apple.
AMD Expands 5G RFSoC Portfolio
Obtained through its Xilinx acquisition, AMD’s RFSoCs meld FPGA and processor functions with blocks for mobile infrastructure. The ZU64DR and ZU63DR join a line of RFSoCs that implement DFE functions.
Tesla to Use 75% less SiC. Should SiC Stakeholders be Worried?
Tesla's recent announcement that they will reduce the amount of silicon carbide (SiC) in future vehicles by 75% is a significant move for the company, as SiC has been a key material in their vehicles' power electronics systems.
Samsung Galaxy S23 Ultra Cameras
TechInsights has conducted an initial teardown analysis of the Samsung Galaxy S23 Ultra, with a focus on the phone's camera modules and optical sensors. This blog presents the preliminary findings of the teardown, revealing the specific components and manufacturers of the camera and sensor hardware found inside the phone.
YMTC is a Leading Pioneer in 3D NAND
Dr. Jeongdong Choe penned the following article in December 2022. Technology moves quickly – here we are in March 2023, and it has just been announced that YMTC has received a huge cash infusion of $7 billion USD. We have also just received the Micron 232L NAND SSD, and will be reporting our findings shortly.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Waiting for the tide to turn
Waiting for the tide to turn Shereen Vaux Order activity for semiconductor equipment held at a chilly 46 degrees Memory and SOC Mobile were the only subsegments to fall a degree Memory activity is stuck at freezing levels The positive is that the
Ponte Vecchio GPUs Top Expectations
Intel’s Ponte Vecchio data-center GPU is a packaging tour de force. Available in three models, it delivers leading FP64 vector performance.
Lattice Details First Avant FPGAs
Avant-E is the first series based on Lattice’s Avant platform, announced in 2021. It addresses the FPGA midrange with devices that have logic and security but no CPUs.
Sapphire Rapids Boosts Workstation Xeons
The new Xeon W-2400 and W-3400 processors integrate 6 to 56 CPUs and support DDR5 as well as PCIe Gen5, providing a needed upgrade to Intel’s workstation line.
OMNIVISION Introduces Premium 50MP Image Sensor for Flagship Smartphones
The rapid development in image sensor technology is marking a new phase in the evolution of smartphone cameras, leading to the introduction of new CIS products with strong imaging capabilities.
Sustainability, Export Controls, and TSMC.
Sustainability, Export Controls, and TSMC. G. Dan Hutcheson The Chip Insider® Sustainability: more than just a nice thing to do. As I have admitted before, I am not a big fan of the topic, because there is no product there. Given my Maxim, “Problems
It's cold and visibility hit a new low (February update)
It's cold and visibility hit a new low (February update) Shereen Vaux Order activity for semiconductor equipment slipped to a chilly 46 degrees All segments, with the exception of Other SOC compute and DAO, slipped a degree last week with memory
How SMIC joined the ranks of Intel, TSMC, and Samsung
Last summer, TechInsights’ experts discovered that Semiconductor Manufacturing International Corporation (SMIC) used 7nm technology to manufacture the MinerVa Bitcoin Miner system-on-chip (SoC).
Honor Magic4 Ultimate Smartphone Cameras
Does it Offer the Best Zoom Performance in the Industry? TechInsights take a look inside the camera hardware.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Alif MCUs Combine Arm Cortex and Ethos
Targeting IoT, wearables, consumer electronics, and robotics, Alif Semiconductor is building microcontrollers that consume less than 1 W and provide up to 250 GOPS using Arm Cortex CPUs and Ethos AI units.
M2 Pro, Max Boost GPU Performance 30%
Apple’s new M2 Pro and M2 Max offer more CPU, GPU, and AI performance than their predecessors, helping the company maintain its differentiation.
Editorial: Intel Struggles Continue
Two years into his tenure, CEO Pat Gelsinger continues to oversee major product delays. As profits fade, he must consider axing some of the company’s emerging businesses to meet expense targets.
Technology Export Controls, Fab utilization and chip inventory
Technology Export Controls, Fab utilization and chip inventory G. Dan Hutcheson The Chip Insider® Multilateral Technology Export Controls: Do they work? The historical throughline to today’s rising export controls – with the United States trying to
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Enterprise Mobility Predictions for 2023
As businesses look ahead to 2023 and beyond, what key and emerging technologies will shape the Enterprise Mobility development in 2023 and beyond?
Order activity remains chilly
Order activity remains chilly Shereen Vaux Order activity for semiconductor equipment slipped to 47 degrees All segments dipped a degree with the exception of DAO which has held at the same temperature since December 30th The January data is in from
Handsets to watch for in 2023 (for RF front end (RFFE) Innovation)
In consultation with our RF Subject Matter Expert, Radu Trandafir, and Technical Product Manager, Van Thompson, here’s a quick rundown of the top mobile phones to watch for in 2023 with potential significant RFFE innovation.
2023 State of the Power Semiconductor Market
The Power semiconductor market is in for a difficult year with the high demand for vehicle electrification and clean energy, leaving power semiconductors in a shortage. Read the blog for TechInsights’ predictions and discoveries on market consolidation, which is a growing trend in terms of wide bandgap semiconductor material supply.
FPGA Startup Rapid Silicon Enters Market
A new startup, Rapid Silicon, is entering the FPGA market. Its Gemini SoC FPGAs, with hardened CPUs, compete against aging midrange alternatives from AMD, Intel, and Microchip.
Analyst Predictions for 2023
MPR’s analysts have developed forecasts for various processor markets. The trend toward PC processors with AI accelerators is under way. Meanwhile, some AI-accelerator startups will topple as their funding runs out.
TechInsights Critical Subsystems Driving Forces Update on Platform
TechInsights Critical Subsystems Driving Forces Update on Platform Updated files include the following: Driving Forces Device Forecast Summary History and Forecast for PV Revenues, Unit Shipments, and ASPs Substrate Processing Equipment Forecasts
Cycle Mapping and Upturn Timing
Cycle Mapping and Upturn Timing G. Dan Hutcheson The Chip Insider® Cycle Mapping 2022-2023: 6-months into the slowdown, quarterly and December’s results – in particular – have revealed how this slowdown resembles previous cycles. Some believe it must
SemiWEEK: Caution prevails as dark clouds linger; Semiconductor sales fell 9% last week; Stocks fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Caution prevails as dark clouds linger
Caution prevails as dark clouds linger Shereen Vaux Order activity for semiconductor equipment dropped to a chilly 48 SOC Mobile and Digital Consumers dropped a degree in cool conditions Memory activity is stuck at freezing levels Many Semiconductor
A Trip Down TSMC Memory Lane – Part 3
At the end of Part 2 of the blog we had reached 2009 and the 40-nm generation; which put TSMC out of sync with other companies, who were marketing their 45-nm product. That discrepancy continued with the usual 70% shrink to the next node, 28-nm for TSMC and 32-nm for IDMs such as Intel and IBM.
AMD’s MI300 Targets HPC and AI
AMD’s MI300 accelerator will compete with Nvidia's Grace Hopper in the HPC and AI markets. It uses AMD's third-generation CDNA3 architecture and features x86, GPU, and memory die in a single package for improved performance.
Qualcomm Integrates Cockpit, ADAS
Qualcomm’s Ride Flex SoC combines ADAS and cockpit applications, integrating workloads that have no safety criticality with those requiring ASIL B and ASIL D safety.
TSMC Reveals 3nm Process Details
TSMC presented papers at IEDM detailing its 3nm N3 and N3E processes. N3 reduces CPP by 6nm compared with N5. SRAM cells are no smaller in N3E than in N5.
Memory Technology Trends and Challenges
Recently, TechInsights hosted a Memory webinar where Dr. Jeongdong Choe, Senior Technical Fellow at TechInsights, discussed the latest memory technology trends and challenges for DRAM and NAND devices.
TechInsights Critical Sub-systems Graphics file on Platform
TechInsights Critical Sub-systems Graphics file on Platform Updated CSUBS Graphics file is now available: Driving Forces Misc. Reports CSUBS Graphics 230203 CSUBS, Equipment, Macroeconomic quarterly CSUBS, Equipment sales forecast annual Supply Chain
SemiWEEK: Caution dominates decision-making; Chip War and Upturn Timing; Stocks continue to grow
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Mobile RF – 2022 Webinar Recap
TechInsights hosted 3 webinars focused on Mobile RF technology and trends to watch for in 2022. With commentary, insights, and predictions from some of the top minds in the Mobile RF landscape, the TechInsights’ subject matter experts discussed who will be the dominant RF players, the different approaches taken to address the increased complexity brought by new standards, and the new antenna modules used by Apple in the iPhone 12 and 13.
Hybrid Bonding Technology - 2023 and beyond
Recently, TechInsights hosted a webinar where several experts from our Logic, Image Sensor, Memory, and Engineering teams reviewed applications of hybrid bonding technology and discussed what’s to come in 2023 and beyond.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Caution dominates decision-making
Caution dominates decision-making Shereen Vaux Order activity for semiconductor equipment held at a brisk 49 degrees The decline continues to moderate Memory slipped another degree in freezing levels while Other SOC compute fell two degrees Texas
Imagination DXT GPU Adds ALUs, TPUs
Imagination Technologies’ DXT GPU increases raw performance by 50% over the prior CXT generation. Although ray tracing remained in the headlines, changes to other circuits are responsible for this higher throughput.
AMD Phoenix Delivers AI to Notebook PCs
AMD is addressing notebook PCs from multiple directions, fielding a mobile Ryzen that integrates an AI accelerator and a high-performance Ryzen that has up to 16 CPUs and large caches.
Analysts’ Choice Winners for 2022
MPR recognizes the past year’s top products in the categories of data center, PC, embedded, smartphone, processor IP, and emerging technology. And the winners are...
Join TechInsights’ Leading Market Analysts at the 2023 Mobile World Congress
Leading market and technology analysts from TechInsights will be attending the Mobile World Congress (MWC) in Barcelona, Spain Feb. 27 – Mar. 2, 2023. During the event, our analysts will conduct business meetings, moderate conference sessions, attend briefings and provide media interviews.
Power of the Chip Series
This short-form video series will cover topical events and include insightful commentary from our TechInsight’s experts (and surprise guests) on how powerful this tiny object is.
Chip War and Upturn Timing
Chip War and Upturn Timing G. Dan Hutcheson The Chip Insider® Happenings, Comments, Questions & Answers: Japan and the Netherlands may join the U.S. in semiconductor equipment restrictions to China … an openly visible move to side with the U.S. would
SemiWEEK: The semiconductor industry is covered in a blanket of snow; SEMI ISS; Stocks rose
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Lithography: Now and Then
Advanced lithography techniques, which are required to print ultrafine features on to chips, are primary enablers of modern technology advancement. There are many different lithographic techniques, with EUV considered the modern-day frontrunner leading the way to the world’s most advanced chips.
Throwback to 2021 CIS Technology Trends
CMOS image sensors (CIS) have continued to evolve in response to performance requirements of current applications of Smartphone Imaging, Security Surveillance, Biometrics, Automotive and Depth Sensing and Ranging.
iPhone 14 Pro's New Ambient Light Sensor – Inside the Package
Apple’s annual big reveal in September 2022 promised an iPhone 14 with “a re-engineered proximity sensor”, detecting light from behind the display to save additional space. This is the type of announcement the CIS subscription likes to hear about, and indeed our initial teardown analysis showed that Apple decided to change their approach to the proximity sensor.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Xiaomi 120 watt, 19 minute HyperCharging: An adaptive charging protocol using the SurgeP1 charging IC
The innovation seen within smartphones, including increasingly faster charging speed, has been exciting to watch over the past year. Key players like Xiaomi, Realme, Samsung, and Black Shark are leading the pack in this space with Xiaomi and Realme as the top competitors, surpassing 100 W.
The Continuously Evolving Landscape of Memory Technology
The memory technology landscape is continuously evolving. DRAM and NAND Flash memory demands have been steadily increasing and emerging memory markets such as STT-MRAM, ReRAM, PCRAM, FeRAM, and 3D XPoint memory are expected to reach $36 billion by 2030. As emerging memory makers race ahead of device scaling, they will face several challenges.
The semiconductor industry is covered in a blanket of snow
The semiconductor industry is covered in a blanket of snow Shereen Vaux Order activity for semiconductor equipment decreased to a brisk 49 degrees The decline continues to moderate Memory remains at freezing levels while Subcon/Advanced packaging and
Andes Adds 1,024-Bit Vectors
Andes has a new high-end CPU that handles the longest vectors yet for RISC-V as well as a new small entry-level CPU for small connected systems.
Editorial: It’s On Demand and Nobody Asked for It
The Sapphire Rapids Xeon Scalable processor integrates multiple features that Intel disables in certain models. The company’s On Demand program allows customers to enable them postpurchase.
Encharge Recharges In-memory Compute
Startup Encharge AI has exited stealth mode, announcing its in-memory-compute technology. Its chips use analog technology and provide 20x more performance per watt than competitors.
Who made our top picks in IoT Connectivity for 2022?
"It was a busy year in the IoT connectivity landscape in 2022. TechInsights’ team of subject-matter experts and analysts worked hard at identifying the key events, technologies, and design practices to include in our IoT SoC subscription."
SemiWEEK: Visibility is low as the storm intensifies; Semiconductor sales grew as the industry headed to the Lunar New Year; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Visibility is low as the storm intensifies
Visibility is low as the storm intensifies Shereen Vaux Order activity for semiconductor equipment held at a chilly 50 degrees The decline has moderated over the last few weeks with most segments remaining unchanged The December data from the
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
TechInsights Manufacturing Analysis Q1 Upload Schedule
TechInsights Manufacturing Analysis Q1 Upload Schedule TechInsights Manufacturing Analysis will be updating the following databases: ForecastPro: TCI Updates (monthly) – Week of Jan 16 / Feb 20 / Mar 13 Reticles Forecast – Week of Jan 23 Designs
TechInsights Critical Subsystems Pedestals/Heaters Summary on the Platform
TechInsights Critical Subsystems Pedestals/Heaters Summary on the Platform Updates included are the following: Driving Forces Misc. Reports Pedestal Heaters 20230117 We recently completed a focused report on Pedestals/Heaters components (includes
Espressif Announces Processor with Video
Espressif, maker of wireless-enabled processors, has announced a new CPU that targets man-machine applications.
SemiWEEK: The Chip Insider’s Strategic Forecast; Commodity Ceramics Components; Driving Forces Device Forecast; Stocks soared
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Comparison: Latest 3D NAND Products from YMTC, Samsung, SK hynix and Micron
TechInsights ran a comparison of the YMTC 232-L against 128- and 176- layer solutions from Samsung, SK hynix, and Micron, looking at factors like die size, bit density, active layers, word line pitch, and more.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
TechInsights Critical Subsystems Commodity Ceramics Summary on the Platform
TechInsights Critical Subsystems Commodity Ceramics Summary on the Platform Updates included are the following: Driving Forces Misc. Reports Commodity Ceramics 230110 We recently completed a focused report on commodity ceramics (includes Alumina
Roads are icy as fog clouds visibility
Roads are icy as fog clouds visibility Shereen Vaux Order activity for semiconductor equipment held at a chilly 50 degrees. All segments remained the same from last week, with the exception of Memory which continued to lose ground Memory continues at
Sapphire Rapids Scales to 60 CPUs, 8 Sockets
Intel’s new Xeon delivers upgrades in core count and accelerators. It also updates the company’s server platform with PCIe Gen5, DDR5, and CXL.
Year in Review: Established IP Vendors Jump on RISC-V
Over the past year, a few CPU-IP vendors have adopted RISC-V, challenging both Arm and RISC-V startups. Meanwhile, AI-accelerator vendors are jockeying to stand out in a crowded field.
Year in Review: Data-Center Chips Buck a Downturn
The year 2022 saw AI accelerators and DPU becoming mainstream in data center servers. Chiplets came to more CPUs while the chip power consumption reached a new high, necessitating a rethink in cooling.
The Chip Insider’s Strategic Forecast
The Chip Insider’s Strategic Forecast G. Dan Hutcheson The Chip Insider® The Chip Insider’s Strategic Forecast for 2023: Here’s what I believe the important strategic issues will be this year in the supply chain. These are the areas where you need to
SemiWEEK: 2023 is starting off with a blizzard; Semiconductor sales fell in a normal holiday dive; Stocks grew
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Driving Forces Update on Platform
TechInsights Critical Subsystems Driving Forces Update on Platform Updates included are the following: Driving Forces Device Forecast Summary Forecast for Electronics Revenues Forecast for Semiconductor Revenues, Unit Shipments, and ASPs An updated
A Trip Down TSMC Memory Lane – Part 2
Logic Blog A Trip Down TSMC Memory Lane – Part 2 Dick James To start Part 2 of the blog, we backtrack to 1998, when the 0.25-µm process was launched. One of the comments from Shang-Yi Chiang’s (former VP of R&D at TSMC) oral history was that when he
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
NXP’s i.MX9 Gets 10G Ethernet
NXP’s i.MX95 family targets industrial and automotive systems as well as consumer ones. With several CPUs and three computing domains, it exceeds what’s available today, but that gap may narrow by the time it ships.
2023 is starting off with a blizzard
2023 is starting off with a blizzard Shereen Vaux Order activity for semiconductor equipment continued to decline, falling to a chilly 50 degrees The decline moderated last week with only memory and DAO retracting It should not come as a surprise
Intel Fills Out 13th Generation
Intel revealed more of its 13th Core generation, codenamed Raptor Lake. Four mobile families launched along with more desktop models. The company also updated its N-series processors.Recent PMIC Innovation and an Automotive Market Outlook: On-Demand Video
var password = (new URLSearchParams(window.location.search)).get("password"); Webinar - On Demand Recent PMIC Innovation and an Automotive Market Outlook Enter the password sent to your email below to view the webinar. document.getElementById(
Mobile RF Year in Review - Who Made Our Top Picks for 2022?
Mobile RF Blog Mobile RF Year in Review - Who Made Our Top Picks for 2022? 2022 has been a busy year in the mobile RF landscape. TechInsights’ team of subject- matter experts and analysts have been hard at work identifying the key events
Ventana Veyron Scales to 192 Cores
Startup Ventana has revealed Veyron, its RISC-V compute chiplet for server processors. Performance of a Veyron-based processor could rival that of server processors from AMD and Intel.
Auto Chips Drive New Architectures
This past year saw the introduction of new automotive chips that will power 2024-model-year vehicles. Driving the move towards domain and zonal architectures, they realize ideas that have been conceptual up to now.
China’s AI Tiger Roars
China has established several conditions to enable growth of AI-chip companies. Many Chinese AI unicorns and other companies are serving the data-center and edge markets.
eBook: Google Pixel Watch Forecast and Teardown Analysis
Discover our latest eBook on the Google Pixel Watch. Our subject-matter experts analyze the trajectory of the global smartwatch market, forecast Google’s position, and examine component costing related to the addition of the cellular radio.
Happy Holidays to all and to all a good cycle
Happy Holidays to all and to all a good cycle G. Dan Hutcheson The Chip Insider® IBM and Rapidus Partner to compete in the next World Cup of Semiconductors: 2nm foundry technology. The timing of this announcement was perfectly fitting for the
SemiWEEK: Questions & Answers: The Case for a Mild Slowing. How TSMC took the lead in EUV; Stocks tumbled
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
The CHIPS Act Has Already Sparked $200 Billion in Private Investments for U.S. Semiconductor Production
By Robert Casanova, Director, Industry Statistics and Economic Policy
The outlook is gloomy as we head into 2023
The outlook is gloomy as we head into 2023 Shereen Vaux Order activity for semiconductor equipment continued to decline, falling to 52 degrees The November data from the Taiwanese manufacturers was mixed TSMC continues to increase sales to record
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Disruptive Event - AMD Instinct MI210 GPU Accelerator
Silicon Bridge Disruptor: SPIL enters the race with a new offering found in AMD MI210
Webinar – Recent PMIC Innovation and an Automotive Market Outlook
Join TechInsights’ Power Subject Matter Expert, Dr. Stephen Russell and Strategy Analytics’ Executive Director of the Powertrain, Body, Safety & Chassis (PBCS) and Electric Vehicles Service (EVS), Asif Anwar, as they present the Recent PMIC Innovation and an Automotive Market Outlook Power webinar.
Disruptive Event - Stratio BeyonSense SWIR Camera
The BeyonSense Short Wave Infra-Red (SWIR) Sensor is part of a new generation of image sensors that capture light beyond the visible spectrum enabling imaging and sensing devices to see beyond the visible spectrum and into the internal structure of an object.
SEMI ISS Europe 2023 to Spotlight European Chips Act with Focus on Growth, Talent, Sustainability and Supply Chain
SEMI ISS Europe 2023 to Spotlight European Chips Act with Focus on Growth, Talent, Sustainability and Supply Chain VIENNA, Austria — December 13, 2022 — Leading analysts, economists, policymakers and technologists will gather at the SEMI Industry
2022 Semiconductor Materials Market Concludes as Another Solid Year Amid Rising Economic Challenges
2022 Semiconductor Materials Market Concludes as Another Solid Year Amid Rising Economic Challenges San Diego, CA, December 13, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— announced that the
SEMI Taiwan Launches Rating Service to Strengthen Cybersecurity Across Taiwan Chip Ecosystem
SEMI Taiwan Launches Rating Service to Strengthen Cybersecurity Across Taiwan Chip Ecosystem HSINCHU, Taiwan – December 13, 2022 – Taking aim at hardening the Taiwan semiconductor ecosystem’s defenses against cyberattacks, SEMI has launched a
SEMICON Japan 2022 Opens Tomorrow to Showcase Cutting-Edge Technologies Powering Semiconductor Industry Growth
Opening keynote panel to feature Rapidus representatives discussing strategies for strengthening Japan’s semiconductor industry.
Global Total Semiconductor Equipment Sales Forecast to Reach Record High in 2022, SEMI Reports
Global Total Semiconductor Equipment Sales Forecast to Reach Record High in 2022, SEMI Reports TOKYO — December 13, 2022 — Global sales of total semiconductor manufacturing equipment by original equipment manufacturers are forecast to reach a new
Global Chip Industry Projected to Invest More Than $500 Billion in New Factories by 2024, SEMI Reports
Global Chip Industry Projected to Invest More Than $500 Billion in New Factories by 2024, SEMI Reports MILPITAS, Calif. — December 12, 2022 — The worldwide semiconductor industry is projected to invest more than $500 billion in 84 volume chipmaking
Pre-Registration Opens for Chiplet Summit
Pre-Registration Opens for Chiplet Summit SAN DIEGO, CA – December 9, 2022 — Chiplets Shorten Chip Design Cycles. Chiplet Summit opens pre-registration today for its first annual event. It will occur on January 24-26 at the Doubletree by Hilton San
Hopper Leads MLPerf Training Scores
The newest MLPerf training results include scores for Nvidia’s Hopper H100. Depending on the subtest, the H100 is 7–160% faster than its predecessor. No other AI processor is as fast.
MediaTek Boosts Budget Chromebooks
MediaTek’s new Kompanio 520 and 528 serve entry-level Chromebooks. With two higher-tier series targeting mid- and top-tier Chromebooks, the company has been free to optimize the new chips for cost and power.
Pentonic 1000 Upgrades 4K TVs
MediaTek’s newest smart-TV processor targets high-end 4K screens that display up to 120 frames per second (4K120). It features AI-enabled upscaling and can display eight video streams at once.
Questions & Answers: Case for a Mild Slowing and How TSMC took the lead in EUV
Questions & Answers: Case for a Mild Slowing and How TSMC took the lead in EUV G. Dan Hutcheson The Chip Insider® Questions & Answers: The Case for a Mild Slowing in 2023 (2 Dec 2022): Read Dan's article but am confused. Is Dan just offering up a
SemiWEEK: The Case for a Mild Slowing in 2023. Semiconductor Cyclicality; Stocks fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Foundry & IDM Capital Expenditures 4Q2022
Foundry & IDM Capital Expenditures 4Q2022 Shereen Vaux 2023 is going to be a down year for semiconductor spending. Chipmakers have caught up to demand and the inventory buildup turns from a tailwind in 1H22 into a headwind in 2H22 and continues into
CEA-Leti Presents Path Towards Full Fault-Tolerant Quantum Computing with Si-Based VLSI Technologies
Related Paper Covers Efficient Characterization Flow of Industrial Grade Si-Based Qubits, Invited Paper Reports Breakthrough on Path Toward Cryogenic Compact Model.
eBook: Xiaomi Mi 12 Pro and the SurgeP1 Charging IC
This eBook takes an in depth look at Xiaomi's Mi 12 Pro's HyperCharging Protocol implemented by the Xiaomi SurgeP1 IC, which enabled the achievement of a full charge time of only 19 minutes for their Xiaomi Mi 12 smartphone.
Fractilia Dares Chipmakers to Take the Fractilia Challenge
“Fractilia Challenge” program invites chipmakers to send SEM images to Fractilia for analysis with its FAME stochastics control product at no cost with unsurpassed tool-to-tool matching results
3D-Micromac and Schott join forces to improve yield and cost of production of augmented reality waveguides
Joint development partnership to focus on dedicated laser cutting process and mass production system for SCHOTT RealView® high refractive index glass
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Disruptive Event - Apple’s H2 BT 5.3 Audio SoC
Apple’s H2 BT 5.3 audio SoC – elevating the audio experience in the AirPods Pro 2
Joseph Byrne Becomes Editor-in-Chief
Joseph Byrne is now editor-in-chief of Microprocessor Report, replacing Linley Gwennap. Linley will continue as editor emeritus, serving on the editorial board and contributing articles.
Prestera DX1500 Unifies IT/OT Networks
Marvell’s Prestera DX1500 Ethernet switch targets converged IT/OT industrial networks. TSN, MACSec, and redundant-network protocols promote safety and reliability.
It's cold and gloomy
It's cold and gloomy Shereen Vaux Order activity for semiconductor equipment continued to decline, falling to 56 degrees The decline accelerated last week with all segments losing 2 or more degrees Major chipmakers are cutting utilization
Editorial: Arm’s No-Win Legal Fight
Arm and Qualcomm are locked in an ugly public spat over the rights to Nuvia’s CPU. Unresolved, this conflict could hamper Arm’s progress in the PC market and foment interest in RISC-V.Fan-Out Packaging Technologies - The Past and Future: On-Demand Video
var password = (new URLSearchParams(window.location.search)).get("password"); Webinar - On Demand Fan-Out Packaging Technologies - The Past and Future Enter the password sent to your email below to view the webinar. document.getElementById("example")
Global Semiconductor Sales Decrease 0.3% Month-to-Month in October
Worldwide chip sales down 4.6% year-to-year
The Case for a Mild Slowing in 2023. Semiconductor Cyclicality.
The Case for a Mild Slowing in 2023. Semiconductor Cyclicality. G. Dan Hutcheson The Chip Insider® The Case for a Mild Slowing in 2023: It is always a red flag whenever there is a wide range of forecasts from forecasters… Current semiconductor
SIA News Roundup
SIA News Roundup SIA NEWS New Report Identifies Challenges to Continued U.S. Leadership in Semiconductor Design, Innovation Press Release Following the historic enactment of the CHIPS and Science Act to reinvigorate domestic semiconductor
SEMI Commends European Council’s Progress on Chips Act, Urges Swift Start of Trialogue Negotiations
SEMI Commends European Council’s Progress on Chips Act, Urges Swift Start of Trialogue Negotiations BRUSSELS, Belgium — December 1, 2022 — SEMI, the industry association serving the global electronics design and manufacturing supply chain, today
SemiWEEK: The New Normal for lnventory. Fab-lite companies becoming Fabbed; Stocks grew
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Disruptive Event - Broadcom BCM59365 Wireless Charging PMIC
New Broadcom BCM59365, featuring the most advanced wireless charging PMIC observed to date.
Menlo Micro Delivers World’s Highest Power MEMS Switch
With kilowatt power handling, MM9200 is the industry’s highest power micromechanical switch poised to revolutionize smart power and energy distribution
TechInsights Acquires IC Knowledge LLC
TechInsights Acquires IC Knowledge LLC in a Further Expansion of Its Platform of Semiconductor Market Analysis Content
Webinar: Fan-Out Packaging Technologies - The Past and Future
TSMC, Apple, and Samsung have all leveraged different Fan-Out Packaging. Join us to get an update on the latest progress of Wafer Level and Panel Level Fan-Out Packaging.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Storm clouds are starting to roll in
Storm clouds are starting to roll in Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping to 59 degrees The decline is moderating but all segments are still trending lower Overall activity hasn’t been this low since
Disruptive Event - YMTC 232L TLC 3D NAND
Download this disruptive event brief to get detailed die images of Xtacking 3.0, further information about our planned analysis, and a comparison table showing YMTC’s three most recent solutions, 128L CDT1B (August 2021), 128L CDT2A (November 2022), and 232L EET1A.
Snapdragon 8 Gen 2 Adds Ray Tracing
Accompanying the launch of the new flagship Snapdragon are game titles employing its marquee new graphics feature, ray tracing. SoC-architecture updates promise better-looking pictures.
ZeroPoint Aims to Raise DRAM Bandwidth
ZeroPoint’s Ziptilion-BW IP can raise an application’s performance, lower its power, and increase the apparent memory size. But doing so effectively depends on data patterns.
AMD Genoa Takes Epyc to 96 Cores
AMD’s fourth-generation Epyc takes server processors to a new level, delivering 50% gains in core count, memory bandwidth, and I/O speed relative to the previous generation
The fog is clouding visibility
The fog is clouding visibility Shereen Vaux Order activity for semiconductor equipment continued to cool off slipping, to 60 degrees The decline in the overall activity is starting to level off but remains below the Growth Decline Line All segments
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
PMICs with Integrated Passive Components
Power Blog PMICs with Integrated Passive Components Contributed by: Stephen Russell Since the launch of TechInsights’ power management integrated circuit (PMIC) Process Analysis channel at the end of 2021, we have analyzed a wide variety of devices
Industry Strategy Symposium 2023 to Highlight ‘Reaching $1T the Right Way: A Sustainable Path’
Industry Strategy Symposium 2023 to Highlight ‘Reaching $1T the Right Way: A Sustainable Path’ MILPITAS, Calif. – November 14, 2022 – SEMI announced today that Industry Strategy Symposium (ISS) 2023 will be held January 8-11 at the Ritz-Carlton in
SEMICON Europa 2022 Opens Tomorrow with Focus on Accelerating Semiconductor Industry Innovation
SEMICON Europa 2022 Opens Tomorrow with Focus on Accelerating Semiconductor Industry Innovation MUNICH, Germany ─ November 14, 2022 ─ Industry experts from across the electronics design and manufacturing supply chain will gather starting tomorrow at
Plasma-Therm Strengthens Presence in Europe to Collaborate with Customers on New Microelectronics Development
Plasma-Therm Strengthens Presence in Europe to Collaborate with Customers on New Microelectronics Development Grenoble-based manufacturing and cleanroom demo facility offers customers product and applications development, regional field service and
SEMI Award Honors Soitec for Diversity, Equity and Inclusion Leadership in European Chip Industry
SEMI Award Honors Soitec for Diversity, Equity and Inclusion Leadership in European Chip Industry MUNICH, Germany ─ November 16, 2022 ─ SEMI Europe and the SEMI European Advisory Council for Diversity and Inclusion yesterday announced Soitec as
VoltSafe Inc. Collaborates with Menlo Micro to Develop the Most Advanced Smart Electrical Outlet and Connector
VoltSafe Inc. Collaborates with Menlo Micro to Develop the Most Advanced Smart Electrical Outlet and Connector VANCOUVER, BC & IRVINE, CA – (November 15, 2022) – VoltSafe Inc. today announced a strategic partnership with Menlo Microsystems, Inc.
Silicon Labs CEO Matt Johnson Elected Chair of Semiconductor Industry Association
Texas Instruments CEO Rich Templeton elected SIA Vice Chair
SEMI North America Advisory Board Welcomes New Members from Brewer Science, KLA and Nordson Corporation
SEMI North America Advisory Board Welcomes New Members from Brewer Science, KLA and Nordson Corporation MILPITAS, Calif. — November 21, 2022 — SEMI today announced the election of three new members to the SEMI North America Advisory Board (NAAB): Dr
3D-Micromac CEO to Present at TechBlick MiniLED and MicroLED Displays Conference
3D-Micromac gaining momentum in microLED market with new laser processing system orders and industry engagements
The New Normal for Inventory. Fab-lite companies becoming Fabbed.
The New Normal for Inventory. Fab-lite companies becoming Fabbed. G. Dan Hutcheson The Chip Insider® The New Normal for Inventory: One of TechInsights’ most important indicators is the Inventory-to-Billings ratio, or the IB ratio. Currently there is
TechInsights ForecastPro Advanced Packaging Upload
TechInsights ForecastPro Advanced Packaging Upload Updates included are the following: ForecastPro: Packaging Demand Forecast – v22.11 Advanced Packaging Demand Forecast – v22.11 The highest-growing packaging segments were LGA and QFN followed by CSP
Blue Cheetah Connects Chiplets
Proprietary technology helps startup Blue Cheetah rapidly create custom die-to-die interconnects, including analog circuits, to attach chiplets. Focusing on the BoW standard, the company supports two fab processes.
Chiplets Ease Pain for Large Designs
Chiplet use is increasing, primarily for large in-house computing projects, but small chip designs don’t benefit. A broad third-party chiplet market remains years away.
TPUv4 Adds Large On-Chip Memory
The TPUv4 is now generally available through Google Cloud, although the company has used it internally for a year. The ASIC doubles the number of matrix units relative to the TPUv3.
SemiWEEK: Silicon and Silicon Carbide Components; Ceramic Electrostatic Chucks; It’s cold and foggy; Stocks slipped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Ceramic Electrostatic Chucks on the Platform
TechInsights Critical Subsystems Ceramic Electrostatic Chucks on the Platform Summary data from Ceramic E-Chuck Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Ceramic E-Chucks 221116 We recently completed a focused
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
It’s cold and foggy…
It’s cold and foggy… Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping one point to 62 degrees Subcons/Advanced packaging was the only segment to increase last week which could be signaling a turn around in
TechInsights Critical Subsystems Silicon and Silicon Carbide Components report on the Platform
TechInsights Critical Subsystems Silicon and Silicon Carbide Components report on the Platform Summary data for Silicon and Silicon Carbide Components Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Silicon and
A Trip Down TSMC Memory Lane – Part 1
Logic Blog A Trip Down TSMC Memory Lane – Part 1 Dick James A few months ago we published a blog on MOS process history, triggered by Pat Gelsinger’s keynote at the Intel Innovation Days in November last year, and while at the start it was generic
Webinar: Hybrid bonding technology - today and tomorrow
TechInsights experts review applications of hybrid bonding technology, and discuss what’s to come. This presentation compiles content from TechInsights’ subject matter experts in Memory, Image Sensor, and Logic, and from Engineers specializing in a variety of reverse engineering techniques.
N3E Will Be TSMC’s Main 3nm Node
TSMC’s enhanced 3nm process, N3E, employs fewer double-pattered EUV layers and allows more flexibility in the number of transistor fins than its predecessor, N3.
Eliyan Doubles UCIe Bandwidth
A serdes transceiver from Eliyan allows 32Gbps bidirectional chiplet signaling. It can reduce system cost in some systems by eliminating interposers or reduce power by halving speeds with no net bandwidth change.
Dimensity 9200 Continues Premium Push
MediaTek’s new top-of-the-line smartphone processor upgrades to the latest cores and protocols, offering ray tracing and other new capabilities for premium-phone buyers.
SMIC Reports 2022 Third Quarter Results
All currency figures stated in this report are in US Dollars unless stated otherwise.
ASML provides updated view on demand outlook, capacity plans and business model at Investor Day meeting
New share buyback program announced
SemiWEEK: The fog has rolled in; CSUBS Driving Forces Forecast Update; Stocks jumped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Driving Forces Update on Platform
TechInsights Critical Subsystems Driving Forces Update on Platform Updates included are the following: Driving Forces Device Forecast Summary History and Forecast for Electronics Revenues (not updated this month) History and Forecast for
YMTC’s Xtacking 3.0 – Not what TechInsights was expecting to see
Memory Blog YMTC’s Xtacking 3.0 – Not what TechInsights was expecting to see Contributing author: Chi Lim Tan Yangtze Memory Technology Company (YMTC) has been making headlines since it was founded in 2016. Considered China’s leading Integrated
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Apple iPhone 14: Teardown and Analysis Webinar
This is the must attend webinar event of the year: Our exclusive Apple Keynote presented in partnership with Bloomberg Intelligence. The panel will include Bloomberg Senior Hardware Analyst Woo Jin Ho, Strategy Analytics’ Associate Director at the Global Wireless Practice Boris Metodiev and Techinsights’ Forecasting Analyst Taylor St. Germain.
Disruptive Event - MediaTek Dimensity 1050 Chipset
MediaTek’s Dimensity 1050 chipset is making 5G mmWave support in smartphones more affordable. Until now, only top tier US devices were equipped with 5G mmWave capabilities.
ASE, EMD Electronics and KLA Corporation Representatives Appointed to SEMI Foundation Board of Trustees
ASE, EMD Electronics and KLA Corporation Representatives Appointed to SEMI Foundation Board of Trustees MILPITAS, Calif. — November 8, 2022 — The SEMI Foundation has appointed Patricia MacLeod, Director of Marketing and Communications at Advanced
SIA Webinar American Semiconductor Research: Leadership Through Innovation
SIA Webinar American Semiconductor Research: Leadership Through Innovation REGISTER HERE Join us Thursday, Nov. 10 at 2 pm ET for the complimentary SIA Webinar - American Semiconductor Research: Leadership Through Innovation. Following the landmark
Semiconductor Climate Consortium Representatives to Present During 2022 United Nations Climate Change Conference (COP27)
Semiconductor Climate Consortium Representatives to Present During 2022 United Nations Climate Change Conference (COP27) SHARM EL-SHEIKH, EGYPT. — November 7, 2022 — SEMI, the industry association serving the global electronics manufacturing and
The fog has rolled in..
The fog has rolled in.. Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping to 63 degrees All segments trended lower with Memory getting hit the hardest, falling to a new low for the year Memory fundamentals
SEMICON Europa 2022 Keynotes to Highlight Chip Industry Growth Opportunities and Advanced Technologies
SEMICON Europa 2022 Keynotes to Highlight Chip Industry Growth Opportunities and Advanced Technologies MUNICH, Germany ─ November 7, 2022 ─ SEMICON Europa 2022, the region’s premier event for the semiconductor manufacturing and design supply chain
EV Group Advances Leadership in Optical Lithography with Next-Generation EVG150 Resist Processing Platform
EV Group Advances Leadership in Optical Lithography with Next-Generation EVG150 Resist Processing Platform Redesigned 200-mm platform increases module capacity for higher throughput, improves architecture for reduced tool footprint all while
SEMI Global Smart Manufacturing Conference Opens Tomorrow to Spotlight Industry 4.0 Best Practices
SEMI Global Smart Manufacturing Conference Opens Tomorrow to Spotlight Industry 4.0 Best Practices MILPITAS, Calif. — November 7, 2022 — Industry 4.0 experts and visionaries will present at the SEMI Global Smart Manufacturing Conference (GSMC)Linley Fall Processor Conference 2022 Proceedings - Thank You
TechInsights is pleased to announce that the Linley Fall Processor Conference powered by TechInsights - a Hybrid Event, will be held in Santa Clara, California on November 1-2, 2022. If you cannot attend in person, tune in to our virtual livestream or watch the presentations OnDemand at your convenience.Linley Fall Processor Conference 2022 Proceedings
TechInsights is pleased to announce that the Linley Fall Processor Conference powered by TechInsights - a Hybrid Event, will be held in Santa Clara, California on November 1-2, 2022. If you cannot attend in person, tune in to our virtual livestream or watch the presentations OnDemand at your convenience.
SiFive P470 Adds Vectors to Midrange
SiFive’s P400 series CPUs deliver midrange performance in a little-core footprint. The three-way out-of-order design includes an optional vector unit.
Quadric Merges AI, Application Code
Quadric’s Chimera DLA IP executes both neural-network code and application code. Ranging from 1 to 16 TOPS, it blends the functions of a CPU, a DSP, and a DLA.
Andes AX65 CPU Targets Data Center
Andes is taking its RISC-V lineup to the next level with the AX60 family, which uses a quad-issue out-of-order microarchitecture to double CPU performance relative to the earlier AX40 family.
SemiWEEK: Foundry Strategy evolving for a chiplet era; Stocks were mixed
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
SEMI Announces Semiconductor Climate Consortium Founding Members
SEMI Announces Semiconductor Climate Consortium Founding Members Consortium aims to accelerate reduction of greenhouse gas emissions across semiconductor value chain. Consortium members and SEMI to participate at 2022 United Nations Climate Change
Professors from UC-Santa Barbara and UC-San Diego to be Honored for Excellence in Semiconductor Research
Professors from UC-Santa Barbara and UC-San Diego to be Honored for Excellence in Semiconductor Research WASHINGTON — Nov. 1, 2022 — The Semiconductor Industry Association (SIA) and the Semiconductor Research Corporation (SRC) today announced the
eBook: Lithography: Gatekeeper to Technological Independence and Advancement
This ebook examines the various lithography techniques currently in use in consumer electronics, they key players in lithography R&D and development through their patents, and China’s response to EUV restrictions.
The fog is starting to roll in
The fog is starting to roll in Shereen Vaux Order activity for semiconductor equipment extended its decline, slipping to 66 degrees Dipping below the Growth-Decline line for the first time since May 2020 All segments trended lower with Memory getting
Linley Fall Processor Conference 2022
TechInsights is pleased to announce that the Linley Fall Processor Conference powered by TechInsights - a Hybrid Event, will be held in Santa Clara, California on November 1-2, 2022. If you cannot attend in person, tune in to our virtual livestream or watch the presentations OnDemand at your convenience.
AI and ADAS Venture Funding Slows
An analysis of startups reveals an overall slowdown in AI- and ADAS-chip funding. But startup funding is growing in China even as it slows elsewhere.
Aim Future’s AI IP Targets the Edge
Aim Future’s AI accelerator IP targets performance ranging from 32 GOPS to 16 TOPS. It optionally offers the ability to perform incremental learning at the edge.
Nvidia’s Thor Hammers Atlan
Nvidia has revised its automotive roadmap, replacing the product code-named Atlan with the new Thor processor, which targets an impressive 2,000 trillion operations per second (TOPS).
SIA News Roundup
SIA News Roundup SIA NEWS New Report Identifies Target Areas for CHIPS R&D Investments Press Release The report, titled “American Semiconductor Research: Leadership Through Innovation,” highlights the importance of government-industry collaboration
Foundry Strategy
Foundry Strategy G. Dan Hutcheson The Chip Insider® Foundry Strategy evolving for a chiplet era: There’s been plenty of foundry coming out efforts in recent months that offer new pictures of what they are up to and how their differentiation
SemiWEEK: 2023 Forecast, When Forecasting fails; Stocks rose
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
New Report Identifies Target Areas for CHIPS R&D Investments
New Report Identifies Target Areas for CHIPS R&D Investments SIA-BCG study calls for new federal funds to be directed at five key areas of semiconductor R&D WASHINGTON, Oct. 27, 2022 — Following landmark enactment in August 2022 of the CHIPS and
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
SIA Member Update
SIA Member Update Member Update Following landmark enactment in August 2022 of the CHIPS and Science Act to reinvigorate domestic semiconductor manufacturing and research, SIA and the Boston Consulting Group (BCG) will release a report tomorrow
Worldwide Silicon Wafer Shipments Set a New Record in Q3 2022, SEMI Reports
Worldwide Silicon Wafer Shipments Set a New Record in Q3 2022, SEMI Reports MILPITAS, Calif. — October 25, 2022 — Worldwide silicon wafer shipments reached a new record of 3,741 million square inches (MSI) in the third quarter of 2022, increasing 1.0
Webinar - Mobile Radio Frequency: A Patent and Technology Perspective
This webinar describes how the RF market has evolved and how, more than ever, advanced investigations and prosecutions can be accomplished using technical analysis. Register for this webinar to get the latest perspective on the Mobile RF patent and technology landscape.
PentaG-RAN IP Targets Base Stations
Ceva’s PentaG-RAN is a baseband IP block for cellular infrastructure. Packing multiple fixed-function units and two DSP types, it targets SoCs for RUs, DUs, small cells, and beam-forming chips.
Zen 3 Goes Embedded
AMD’s new Ryzen Embedded V3000 family addresses networking and storage with the Zen 3 microarchitecture. It features up to eight CPUs but omits GPUs.
Neoverse V2 Pressures x86 Servers
Arm’s next Neoverse CPU, code-named Demeter, will appear in Nvidia’s Grace processor in 2023, matching the single-thread performance of mainstream Xeon products for the first time.
SIA News Roundup
SIA News Roundup CHINA Tech war: Chinese local governments ramp up chip industry support as US piles on export restrictions South China Morning Post China’s local governments are doubling down on cash incentives and policy support for home-grown
2023 Forecast, When Forecasting fails
2023 Forecast, When Forecasting fails G. Dan Hutcheson The Chip Insider® 2023 Forecast Update: There were major changes to the 2023 projections in this week’s TCI Graphics file. IC sales are expected to be down 6% and Semi Equipment off 14%, with WFE
SemiWEEK: Bearish conditions abound; Semiconductor sales fell 7% last week; Stocks jumped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights acquires The McClean Report from IC Insights
TechInsights, the authoritative information platform to the semiconductor and microelectronics market, is pleased to announce that it has acquired The McClean Report from IC Insights, Inc.
ASML intends to appoint Wayne Allan to Board of Management
ASML intends to appoint Wayne Allan to Board of Management VELDHOVEN, the Netherlands, October 19, 2022 — ASML Holding N.V. (ASML) today announces that its Supervisory Board intends to appoint Wayne Allan as a member of the Board of Management in the
TechInsights' Semiconductor Analytics report
TechInsights' Semiconductor Analytics report
Chiplet Summit Helps Advance Chiplet Technology
Chiplet Summit Helps Advance Chiplet Technology SAN DIEGO, CA – October 18, 2022 – Chiplets Need an Event Covering All Aspects of Development Chiplet Summit is a major addition to the chiplet ecosystem. Debuting at the Doubletree by Hilton San Jose
Bearish conditions abound
Bearish conditions abound Andrea Lati Order activity for semiconductor equipment extended its decline, slipping to 76 degrees While the overall order activity remains in positive territory, it’s gradually approaching the Growth-Decline line Memory
Global 200mm Semiconductor Fab Capacity Projected to Surge 20% to Record High by 2025, SEMI Reports
Global 200mm Semiconductor Fab Capacity Projected to Surge 20% to Record High by 2025, SEMI Reports MILPITAS, Calif. — October 18, 2022 — Semiconductor manufacturers worldwide are estimated to increase 200mm fab capacity 20% from 2021 through 2025
Energy Taiwan 2022 Opens Tomorrow to Spotlight Green Energy Innovations and Region’s Drive Toward Net-Zero Emissions
Energy Taiwan 2022 Opens Tomorrow to Spotlight Green Energy Innovations and Region’s Drive Toward Net-Zero Emissions TAIPEI, Taiwan — October 18, 2022 — Energy Taiwan, Taiwan's largest green energy exhibition, opens tomorrow at the Nangang Exhibition
SIA News Roundup
SIA News Roundup CHINA Beijing rebukes Biden's export controls Politico China’s Minister of Foreign Affairs had harsh words to describe the Biden administration’s new export controls on semiconductor technology: "Out of the need to maintain its sci
Electronic System Design Industry Hits Record Revenue of Nearly $3.8 Billion in Q2 2022, ESD Alliance Reports
Electronic System Design Industry Hits Record Revenue of Nearly $3.8 Billion in Q2 2022, ESD Alliance Reports MILPITAS, Calif. — October 17, 2022 — Electronic System Design (ESD) industry revenue increased 17.5% from $3,191.4 million in Q2 2021 to $3
Marvell Ups LiquidSecurity ECC Speed
Seven years after releasing LiquidSecurity, Marvell has unveiled a second-generation PCIe card that increases RSA throughput by 20% and ECC throughput tenfold.
STMicroelectronics Enters the Zone
STMicroelectronics’ new SR6P7x and SR6P6x automotive SoCs aggregate low-level ECUs into domains or zones. With a novel choice of nonvolatile memory for code, the company has a strong focus on deterministic real-time performance.
Nvidia Ada Doubles GPU Performance
Nvidia’s newest gaming GPU, code-named Ada Lovelace, builds on the Ampere design with faster clock speeds, far more cores, a massive cache memory, and faster ray tracing.Thank you for registering
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverse
Discussion on the Apple Watch Metal Can Battery
We have decided to take a deeper look into the Metal can approach with our analysis of the Apple watch series 7 (41 mm) to characterize the metal can battery with the intention of answering why the metal can is used instead of the soft pouch.
SemiWEEK: Still on Track to Hit $1 Trillion by 2030? Why the Natural Semiconductor Growth Rate will Rise. Maxims for a Downturn; Stocks sunk
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Apple’s iPhone 14 Launch Drives Opportunities for the Smartphone Image Sensor Market
The latest iPhone 14 and 14 Pro series from Apple continues to shine with its impressive set of cameras that use image sensors from Sony Semiconductor. This is driving important trends and outcomes in the smartphone image sensor market.
Atom Probe Tomography of Silicon Carbide JFETs
Power Blog Atom Probe Tomography of Silicon Carbide JFETs Stephen Russell Co-authored by: Ramya Cuduvally (affiliation: CCEM and Department of Materials Science and Engineering, McMaster University) Brian Langelier (affiliation: CCEM and DepartmentThank you for registering
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverse
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
SIA Member Update
SIA Member Update Member Update The U.S. government on Oct. 7 announced a set of new export control rules restricting China’s access to certain high-performance computing and advanced semiconductor manufacturing items. A first rule released by the
MITRE Engenuity Chief Technologist Raj Jammy Among First Members Appointed to Industrial Advisory Committee for Chips for America
U.S. Department of Commerce Creates Advisory Committee to Guide R&D Efforts for CHIPS for America
SEMICON Japan 2022 to Spotlight Innovations Driving Semiconductor Industry Growth
SEMICON Japan 2022 to Spotlight Innovations Driving Semiconductor Industry Growth TOKYO – October 11, 2022 – With Japan accounting for more than 30% of semiconductor manufacturing equipment and materials sales worldwide, the stage is set for SEMICON
Global 300mm Semiconductor Fab Capacity Projected To Reach New High in 2025, SEMI Reports
Global 300mm Semiconductor Fab Capacity Projected To Reach New High in 2025, SEMI Reports MILPITAS, Calif. — October 11, 2022 — Semiconductor manufacturers worldwide are forecast to expand 300mm fab capacity at a nearly 10% compound average growth
It's cooling off and the leaves are turning yellow
It's cooling off and the leaves are turning yellow Andrea Lati Order activity for semiconductor equipment continued to cool off, slipping by nearly two points in the first week of October All segments ended the week lower as chipmakers grow more
Mark Templeton Inducted into Phil Kaufman Hall of Fame by the ESD Alliance and IEEE CEDA
Mark Templeton Inducted into Phil Kaufman Hall of Fame by the ESD Alliance and IEEE CEDA Templeton Recognized Posthumously for Technical and Business Innovation MILPITAS, CALIF. –– October 3, 2022 –– Mark Templeton, the former managing director of
SIA Applauds Appointment of Industry Leaders to Advise Commerce Department on CHIPS R&D Implementation
SIA Applauds Appointment of Industry Leaders to Advise Commerce Department on CHIPS R&D Implementation Industrial Advisory Committee is comprised of 24 industry reps from across the semiconductor ecosystem WASHINGTON—Sept. 29, 2022—The Semiconductor
Qualcomm Snapdragon 8(+) Gen1 SoC
For the first time in recent memory, Qualcomm has dual-sourced their Snapdragon 8(+) Gen1 SoC with both Samsung (4LPX) and TSMC (N4). This has allowed us at TechInsights to compare a common design manufactured by two different foundries.
AMD FPGA Targets 400Gbps Smart NICs
Having closed its Xilinx acquisition, AMD is launching a new FPGA with much more CPU power than previous members of its Versal family, targeting it at 400Gbps smart NICs.
Intel Debuts Discrete Desktop GPUs
Intel has expanded its discrete graphics card offering into the low end of the desktop market. It also has two new models for the data center.
Sapeon Adds AI to Edge Servers
After spinning off from SK Telecom, Sapeon has become the first Korean company to deliver an AI chip. The X220 provides an efficient accelerator for both video analysis and language processing.
SIA News Roundup
SIA News Roundup SIA NEWS SIA Statement on New Export Controls Press Release “We are assessing the impact of the new export controls on the U.S. semiconductor industry and working with our member companies and the U.S. government to ensure compliance
SIA Statement on New Export Controls
SIA Statement on New Export Controls WASHINGTON—Oct. 7, 2022— The Semiconductor Industry Association (SIA) today released the following statement in response to the new export controls announced today by the U.S. Commerce Department. SIA representsThank you for registering
Thank you for signing up for our Power of the Chip video series. We’ll notify you as soon as the next video is live. To ensure email delivery, add TInews@techinsights.com to your address book, contacts, or safe sender list. /* Small devices
SemiWEEK: Re-Globalizing the Semiconductor Industry. Maxims for a Downturn; Stocks increase
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Webinar: Who will dominate in RF? Smartphone RF Front Ends at a crossroad: Qualcomm, OpenRF, Skyworks, etc.
In this 30 minute webinar followed by a live Q&A session, TechInsights / Strategy Analytics’ Christopher Taylor, Lead Analyst and Radu Trandafir, Lead Technology Analyst, examined key decisions faced by OEMs when choosing components to help you understand how market-leading approaches stack up.Mobile Radio Frequency: A Patent and Technology Perspective - Thank you
Thank you for registering for the webinar. You will receive an email with a link confirming your seat to the webinar shortly. If you are having troubles with your registration link, please contact us. Leading the world in microelectronics reverseAsk your question to Dr. Russell
Thank you for your interest. If you have a question you didn’t get to ask during the webinar Q&A, submit it below and Dr. Russell will answer var form = 'https://w2.techinsights.com/l/4202/2022-09-28/35qcqg'; var urltitle = document.title; var params
Your webinar questions answered: Recent GaN Innovation
Answers to the numerous questions asked in the recent gallium nitride (GaN) focused webinar ‘Recent GaN Innovation: Moving from Consumer to Data Center and Automotive’.
AMD Zen 4 Adds AVX-512
Zen 4 increases IPC and runs much faster than Zen 3 owing to optimized circuits, a process shrink, bigger buffers, deeper queues, and a larger micro-op cache.
New SiFive CPUs Hit the Road
SiFive has entered the automotive market, offering small embedded and application cores for ASIL B and ASIL D systems.
Raptor Lake Adds Little Cores
Despite a short design cycle, Intel boosted the performance of its 13th Generation Core processor by up to 15% over the previous generation through higher clock speeds and more “efficiency” cores.
Re-Globalizing the Semiconductor Industry. Maxims for a Downturn.
Re-Globalizing the Semiconductor Industry. Maxims for a Downturn. G. Dan Hutcheson The Chip Insider® Re-Globalizing the Semiconductor Industry: Governments are not pursuing DE-Globalization. They are trying to RE-Globalize the semiconductor industry
SemiWEEK: TSMC’s Extraordinary Growth: What’s the problem; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
eBook: Fast-Charging Algorithms of the iPhone 13 Pro Max and Samsung S22 Ultra
Find an analysis of the existing fast charging methods and their associated problems, and an investigation of the fast-charging techniques of the iPhone 13 Pro Max and Samsung S22 Ultra.
SRC-SIA Webinar on Collaboration Towards Decadal Plan Goals: Emerging Semiconductor Technologies
SRC-SIA Webinar on Collaboration Towards Decadal Plan Goals: Emerging Semiconductor Technologies REGISTER HERE Dear G. Dan, Join us Thursday, Sept. 29 at 12:30 pm EDT for the complimentary SIA/SRC Webinar - Collaboration Towards Decadal Plan Goals
ROHM Gen 4 Discussion
Watch the 2-part video discussion that TechInsights’ own Dr. Stephen Russell and PGC Consultancy’s Professor Peter Gammon created to take a closer look at how groundbreaking improvements of ROHM’s 4th Gen SiC MOSFET, fits into the broader SiC market.
TechInsights Critical Sub-systems Graphics file on Platform
TechInsights Critical Sub-systems Graphics file on Platform Updated CSUBS Graphics file is now available: Driving Forces Misc. Reports CSUBS Graphics 220927 CSUBS, Equipment, Macroeconomic quarterly CSUBS, Equipment sales forecast annual Supply Chain
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Caution rises as clouds darken the road
Caution rises as clouds darken the road Andrea Lati Order activity for semiconductor equipment was flat last week with the overall temperature hovering at a warm 80 degrees Activity remains bifurcated with Memory and SOC Consumer slipping into
SEMICON Europa 2022 to Highlight Smart Mobility, Smart MedTech, Advanced Packaging, Fab Management, Materials, Sustainability and Talent
SEMICON Europa 2022 to Highlight Smart Mobility, Smart MedTech, Advanced Packaging, Fab Management, Materials, Sustainability and Talent MUNICH, Germany ─ September 27, 2022 ─ Innovations in advanced technologies such as Smart Mobility, Smart MedTech
Global Fab Equipment Spending Forecast to Reach All-Time High of Nearly $100 Billion in 2022, SEMI Reports
Global Fab Equipment Spending Forecast to Reach All-Time High of Nearly $100 Billion in 2022, SEMI Reports MILPITAS, Calif. — September 27, 2022 — Global fab equipment spending for front-end facilities is expected to increase approximately 9% year
Worldwide OEM electronics sales are expected to fall nearly 2% this year
Worldwide OEM electronics sales are expected to fall nearly 2% this year Updates included are the following: Electronics & Macroeconomy Forecast – V22.10 Diffusion Rates and Historical Ratios and Utilization – V22.10 Worldwide OEM electronics sales
SEMI and AVCC Team to Promote Autonomous Vehicle Innovation and Mass Market Adoption
SEMI and AVCC Team to Promote Autonomous Vehicle Innovation and Mass Market Adoption SAN JOSE and MILPITAS, Calif. — September 27, 2022 — The Autonomous Vehicle Computing Consortium (AVCC), a global group of automotive and technology industry leaders
eBeam Initiative Survey Reports EUV Fueling Photomask Industry Growth
Results of 11th annual Luminaries survey to be presented at live event held during SPIE Photomask Technology + EUV Lithography Conference
TechInsights Critical Subsystems Inventory on the Platform
TechInsights Critical Subsystems Inventory on the Platform Critical Sub-systems Inventory Focus Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Inventory 220926 We recently completed a report on inventories of
Innovusion Falcon LiDAR
TechInsights has procured the Innovusion Falcon - an industry-leading automotive-grade LiDAR that has been chosen as the standard configuration for the NIO ET7 autonomous driving system. Given the sizeable opportunities for LiDAR manufacturers , many players in the market are looking to secure deals with large auto groups and auto makers.
Ryzen 7000 Upgrades to Zen 4 and 5nm
AMD’s Ryzen 7000-series processors for high-end desktops employ the new Zen 4 CPU to achieve greater performance and power efficiency.
Snapdragon 6 Boosts Graphics and AI
Qualcomm updated its Snapdragon 6 and 4 lines, moving to a new process, improving performance, and selectively adding features. The new nomenclature aligns with the 7- and 8-series.
Hopper, Startups Debut MLPerf Scores
The MLPerf 2.1 inference release includes preliminary results that put Nvidia’s Hopper H100 in the performance lead. Asian startups Biren and Sapeon also made impressive debuts.
AutoSens Brussels 2022
TechInsights recently attended AutoSens Brussels 2022, held September 12-15th at Autoworld in central Brussels. This blog provides some highlights of recent CMOS Image Sensors developments and trends from the event.
TSMC’s Extraordinary Growth: What’s the problem?
Govt Tech Controls & Chip Slowdown G. Dan Hutcheson The Chip Insider® TSMC’s Extraordinary Growth: What’s the problem? TSMC had another extraordinary month in August: Year-over-Year sales growth of 47%. This was up from 41% in July. But down from 56%
SemiWEEK: Quartz component revenues on track to reach $1.4B in 2022; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights Critical Subsystems Quartz Summary on the Platform
TechInsights Critical Subsystems Quartz Summary on the Platform Summary data from Quartz Focus Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Quartz 220921 We recently completed a focused report on quartz
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Apple iPhone 14 Teardown
The Apple iPhone 14 launch marks the first time Apple launched an iPhone product series with two different processors. The iPhone 14 base models have last year’s Apple A15 Bionic processor, and the more expensive 14 Pro models have the newest Apple 16 Bionic processor. TechInsights has several ongoing Teardowns on the new iPhone 14 series models.
Cerebras Dives Into WSE Architecture
The startup disclosed new details about how its tiny cores deliver tremendous performance and how its sparsity support boosts performance when training large AI models.
CXL 3.0 Opens New Use Cases
The newly unveiled CXL 3.0 introduces memory sharing, direct device peer-to-peer memory access without involving a host, and multilevel switching. A new global fabric-attached memory can be shared by 4,096 hosts.
Updated Trident 4 Monitors Connections
Broadcom has added a network-scanning engine to its 12.8Tbps Trident 4 Ethernet switch. Capable of fingerprinting every packet, the engine improves network security.
Caution is on the rise
Caution is on the rise Andrea Lati Order activity for semiconductor equipment continued to cool off slipping, to 80 degrees The decline in the overall activity has moderated in the last few weeks, but it remains bifurcated Memory activity hit another
Apple iPhone 14 Image Sensor - Apple iPhone 14 Pro and Pro Max Cameras
Apple introduced an upgraded main rear cam on the baseline model iPhone 14, a revised front camera module on the iPhone 14 Pro/Max and of course a long-anticipated rear camera resolution upgrade that finally came to the iPhone 14 Pro/Max.
TechInsights Critical Subsystems Elastomer Seals Summary on the Platform
TechInsights Critical Subsystems Elastomer Seals Summary on the Platform Summary data from Elastomer Seals Focus Report is now available on the TechInsights Platform: Driving Forces Misc. Reports Elastomer Seals 220915 We recently completed a focused
SemiWEEK: Government Tech Controls. Chip Slowdown; Stocks sunk
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Sony IMX662 Image Sensor
Security and surveillance camera equipment is frequently required to perform in challenging lighting conditions. Based on multiple customers demands for performance upgrades to their STARVIS system, Sony have developed the IMX662, the latest from the STARVIS 2 family of image sensors.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Samsung HKMG DDR5 DRAM
Samsung’s HKMG DDR5 is the most advanced DRAM product available. Based on the successes of this device design, HKMG is likely set to become the new standard in DRAM manufacturing. Learn more about this technology innovation here.
Inventories are turning red, casting a pall over the industry
Inventories are turning red, casting a pall over the industry Andrea Lati Order activity for semiconductor equipment extended its decline, slipping one point to 81 degrees in the second week of September Memory led the decline as order activity
SEMICON Taiwan 2022 Opens Tomorrow with Spotlight on Advanced Manufacturing, Heterogeneous Integration, Sustainability and Talent
SEMICON Taiwan 2022 Opens Tomorrow with Spotlight on Advanced Manufacturing, Heterogeneous Integration, Sustainability and Talent TAIPEI — September 13, 2022 - SEMICON Taiwan 2022 opens tomorrow as industry leaders and visionaries gather to discuss
MediaTek T830 Accelerates 5G Broadband
To capitalize on growing adoption of 5G cellular for broadband access, MediaTek has boosted the throughput of its fixed-wireless-access chipset.
Lightmatter Connects Chiplets Optically
Lightmatter’s Passage substrate is an active photonic interposer for interconnecting chiplets. All the photonic components and supporting electrical circuits reside in a single multi-reticle piece of silicon.
Nvidia Grace Supports AI Acceleration
To tackle the largest AI models, Nvidia has designed a processor to feed its powerful new Hopper GPU. Grace has twice the memory bandwidth of any x86 processor and can hold GPT-3 in DRAM.
Govt Tech Controls & Chip Slowdown
Govt Tech Controls & Chip Slowdown G. Dan Hutcheson The Chip Insider® The Greater Geopolitical Messaging of U.S Government Technology Controls: A few weeks ago the U.S. Government put Export Controls on EDA and Wide Bandgap Substrates. Then they
SemiWEEK: Gelsinger’s Systems Foundry Business Model; Stocks jumped
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Apple M1 Ultra Advanced Packaging
Packaging has become more sophisticated and a differentiator in the overall performance of advanced SoC chipsets. It can significantly impact form factor, helping to miniaturize designs in the multichip package and improve chip-chip RC delay. The Ultra is the first example of a device utilizing InFO-L technology that TechInsights has documented.
TechInsights' Semiconductor Analytics Report: DRAM Market in steep decline
Semiconductor sales dipped 6% below 2021 levels last week, as the IC weather cooled 1˚F to Chilly conditions. Zooming in on DRAMs this week, the 13-wk growth MA dropped to -13% Y/Y, falling off last year’s peak of +54% in October.
eBook: Going Beyond Datasheets: Benchmarking and Testing the Performance of SiC FETs
In this eBook, TechInsights brings you our first analyses of two innovative SiC FETs whose electrical characteristics are assessed with results presented under identical condition. Using a comprehensive array of test conditions and add extra valuable information, we delve into their robustness under stress and go well beyond the datasheet.
Podcast: The State of the Semiconductor Supply Chain
This on-demand podcast brings together Bloomberg Senior Hardware Analyst Woo Jin Ho and TechInsights’ Forecasting Analyst, Taylor St. Germain for an in-depth discussion about the state of the semiconductor supply chain.
Samsung ISOCELL HP1 found in the Motorola Moto X30 Pro
TechInsights has just received the Motorola Moto X30 Pro (XT2241-1) smartphone and launched an analysis on its wide-angle rear camera, confirming the Samsung HP1.
Temperatures are cooling amid rising uncertainty
Temperatures are cooling amid rising uncertainty Andrea Lati Order activity for semiconductor equipment slipped to 82 degrees The weakness in Memory and Subcon/Adv. Packaging continued to weigh on the overall activity The memory market is now in the
Biren GPGPU Aims for the Clouds
In the data-center accelerator race, the three-year-old startup has burst from the gate with a chiplet-based design that aims to compete with Nvidia for general-purpose-GPU (GPGPU) cloud computing.
Grai Matter Pivots to Floating Point
The startup has made progress on its Grai VIP deep-learning accelerator, changing native processing from INT8 to FP16 and adding audio workloads to its target applications.
Intel PC Processors Move to Chiplets
Meteor Lake will introduce a multidie arrangement using Intel’s silicon-substrate and advanced packaging technologies. This chiplet approach will appear across all PC price tiers beginning late next year.
Gelsinger’s Systems Foundry Business Model
Gelsinger’s Systems Foundry Business Model G. Dan Hutcheson The Chip Insider® Gelsinger’s Systems Foundry Business Model: The Foundry/IDM model is dead. Long live the Foundry model. In the beginning, there was the IDM model. The world didn’t call it
SemiWEEK: Workforce Development Needs and New Export Controls; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Defending Against Infringement Claims with Patent Invalidation
It doesn’t matter how long your company has been in business or how many patents it holds. Everyone is susceptible of being targeted with a patent infringement claim. How are companies supposed to protect themselves against litigation? The answer is to invalidate the asserting patent.
All eyes are focused on 2023
All eyes are focused on 2023 Andrea Lati Order activity for semiconductor equipment continued to cool off, dropping by half a point to 83 degrees SOC Mobile, SOC Compute, and DAO are keeping the overall order activity in positive territory More than
ST BlueNRG Tracks People and Assets
ST’s newest BlueNRG microcontroller targets location services and reduces cost.
Editorial: MCUs Moving to RISC-V
Renesas has introduced the first embedded processor with a user-programmable RISC-V CPU. Chinese processors are already adopting RISC-V; more will follow in a direct challenge to Arm.
Untether Boqueria Targets AI Lead
The startup’s unique at-memory architecture targets an eye-popping 30 teraflop/s per watt and 12,000 teraflops in a single card. The second-generation chip is due to sample in 1H23.
SMIC 7nm is truly 7nm technology, how it compares to TSMC 7nm
Comparison confirms that SMIC reaches 7nm without access to western equipment & technologies. Our analysis also uncovered many similarities between the SMIC 7nm and the TSMC 7nm, which are available in our comparison brief.
Workforce Development Needs and New Export Controls.
Workforce Development Needs and New Export Controls. G. Dan Hutcheson The Chip Insider® Happenings, Comments, Questions & Answers: Chips and Chiplet Strategy - “Fast forward to tomorrow when those fabs are built. How are we going to fill them with
SemiWEEK: Chiplet Strategy. Chips Act: how long. The Transistor’s 75th Anniversary. Becoming Great; Foundry & IDM Capital Expenditures; Stocks plunged
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Murata/Samsung 2nd Gen mmWave AiP discovered in the Samsung Galaxy A53
Murata/Samsung 2nd Gen mmWave AiP discovered in the Samsung Galaxy A53 John Sullivan The Google Pixel 6 Pro 5G mobile handset was released in October 2021. The front end module analysis (MAR-2111-801) revealed a Murata packaged mmWave Antenna in
Semiconductor forecast and Capital Spending for 2022 and 2023 lowered as market headwinds intensify
Semiconductor forecast and Capital Spending for 2022 and 2023 lowered as market headwinds intensify Shereen Vaux Updates included are the following: Semiconductor Forecast- v22.09 CapEx Forecast- v22.09 The semiconductor market had a great first half
eBook: FitBit Ionic Recall - Battery Overheating Analysis and the Root Cause
In this eBook, TechInsights takes an in-depth look at the root cause of the overheating issue by examining the battery structure, charging protocol, and design of a Fitbit Ionic smartwatch.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Xiaomi Poco C40 Teardown
We have identified hundreds of manufacturers throughout the years and are always looking to expand our BoM Database library. So when we heard the Xiaomi Poco C40 would have a new processor from JLQ Technology, the Poco C40 jumped to the top of our list for a Quick Turn Teardown.
It's still very warm but there are bears around
It's still very warm but there are bears around Andrea Lati Order activity for semiconductor equipment continued to trend lower, slipping to 83 degrees Activity in SOC Mobile, SOC Compute, and DAO is holding up well as equipment suppliers catch up
Cognifiber Targets Purely Photonic AI
The Israeli startup has built a proof-of-concept system for a simple optical neural network, but it will require additional effort to handle commercial AI models.
Tomahawk 5 Switches At 51.2Tbps
Broadcom is the first vendor to sample a 51Tbps data-center switch chip. The 5nm device features 100Gbps serdes and port speeds of up to 800G Ethernet.
Functional Safety Moves To Chips
Functional safety affects an increasing number of chip and IP designs. Vendors are precertifying chips, IP, and tools to make it easier for their customers to achieve certification.
Chiplet Strategy. Chips Act: how long. The Transistor’s 75th Anniversary. Becoming Great.
Chiplet Strategy. Chips Act: how long. The Transistor’s 75th Anniversary. Becoming Great. G. Dan Hutcheson The Chip Insider® Chips and Chiplet Strategy: It is a strategic error to believe a chiplet strategy without big silicon will be competitive
SemiWEEK: Surprising Near-Term versus Long-Term Decadal Trends; Edwards – Number 1 WFE Subsystems Supplier; Stocks fell
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Graphene or not? Investigating the Panasonic NCR 21700 powering the Tesla Model 3 and Chargeasap Power bank
Graphene or not? Investigating the Panasonic NCR 21700 powering the Tesla Model 3 and Chargeasap Power bank Dr. Ali Khazaeli Panasonic is one of the top five Li-ion battery manufacturers worldwide [1]. In partnership with Tesla, they built the
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
The Medtronic PillCam SB3: packaging a capsule endoscope
The Medtronic PillCam SB3: packaging a capsule endoscope John Scott-Thomas Endoscopy is a medical procedure that examines the interior of a body using a camera. Common for digestive track imaging, a conventional endoscope uses a tube that is inserted
TI AM625 Updates Industrial SoCs
TI has updated its low-cost SoC family with 64-bit CPUs, lower power, and improved security and functional-safety support, enabling it to target automotive as well as industrial applications.
Intel Finally Gives Up on Optane
Intel and Micron have developed a revolutionary new memory technology called 3D XPoint, but under the Optane brand it never met expectations as either an SSD or DIMM replacement.
Google’s Tensor DLA Lags In Area, Speed
Among flagship-smartphone processors, Google’s first custom design has the largest AI engine but the worst AI performance. In contrast, the Dimensity 9000’s AI engine is the most efficient.
SemiWEEK: Chips and Science Act; ASML – Only Five Star WFE Leader; Stocks increased
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Surprising Near-Term versus Long-Term Decadal Trends
Surprising Near-Term versus Long-Term Decadal Trends G. Dan Hutcheson The Chip Insider® Near-Term versus Long-Term Decadal Trends: As everyone knows, the near-term picture has been darkening for some time. DRAM and Foundry markets started to shift
Review and Things to Know: Flash Memory Summit 2022
The Flash Memory Summit 2022 conference including an Expo was held at Santa Clara Convention Center (US) last week (Aug. 1 – 4). Many of the industry-leading memory manufacturers and module suppliers exhibited their latest products in flash storage technology. TechInsights is a recurring and popular speaker at FMS.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Intel Shines Light On Copackaged Optics
Intel’s eight-laser silicon-photonics research chip could be a breakthrough for copackaged optics. It places the laser array on a silicon chip that can eventually be integrated in the package.
Qualcomm Leaps Forward With W5+
Qualcomm has updated its smartwatch platform with new chip designs. Reduced power enables a visible watch face even when idle; reduced chipset area enables smartwatches that operate globally.
Apple Loses GPU-Performance Lead
Our die-photo analysis reveals that Samsung devoted too little area to its AMD-powered GPU, contributing to its performance shortfall. MediaTek and Intel both outperform the latest Apple chip.
Still green but clouds loom
Still green but clouds loom Andrea Lati Order activity for semiconductor equipment extended its decline, slipping to 84 degrees All segments trended lower led by Subcon/Adv. Packaging and SOC Computing where temperatures slid more than 4 points The
First commercially available Wi-Fi 7 (802.11 be) wireless router: H3C Magic BE18000
First commercially available Wi-Fi 7 (802.11 be) wireless router: H3C Magic BE18000 Radu Trandafir Less than 2 months after the announcement of Qualcomm’s Wi-Fi 7 (IEEE 802.11 be) networking chipset, known since 2019 as Networking Pro Platform, we
Chips and Science Act
Chips and Science Act G. Dan Hutcheson The Chip Insider® What’s Happening and What’s the Meaning: Chips and Science Act Passed: I must admit, I had been saying I didn’t expect the US Congress to get its act together and pass this bill. You’ll recall
SemiWEEK: Exchange Rates. Forecasting Guide. Risk vs Uncertainty; ASM Pacific Technology wins its Sixth Triple Crown; Stocks grew
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
TechInsights' Semiconductor Equipment Report
TechInsights' Semiconductor Equipment Report
SMIC’s Next Generation Process
TechInsights is first and foremost focused on supporting innovation and decision making. Because of the far-reaching interest in this latest technology, we thought we would share some of the discussions we’ve been having. Here are a few of the common questions we are seeing, and our corresponding answers.
It’s cooling off as more fog rolls in
It’s cooling off as more fog rolls in Andrea Lati Order activity for semiconductor equipment continued to trend lower, slipping to 86 degrees Subcon/Adv. Packaging led the decline with temperatures tumbling 8 points last week Even though the overall
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
MPR Editorial: Nanometer Nonsense
Both leading foundries allowed customers to claim they were using a 4nm process when, in fact, they were using 5nm technology. This situation renders node names meaningless. Featured in our July 22 Microprocessor Report we are making this available, in full, for a limited time.
ROHM Gen 4: A Technical Review
A collaboration between Dr. Stephen Russell (TechInsights) and Prof. Peter Gammon (PGC). ROHM released their 4th generation (Gen 4) MOSFET products this year. The new range includes MOSFETs rated to 750 V (increased from 650V) and 1200 V, with a number of the available TO247 packaged components automotive qualified up to 56A/24mΩ.
Teardown: ASUS ROG Rapture GT-AXE11000 router
Teardown: ASUS ROG Rapture GT-AXE11000 router Routers are essential for any internet connections inside the home, whether it be for gaming, work or operating entertainment systems or smart home devices. ASUS has been in the business of creating
Editorial: OEMs Lack Good Value Options
Overly cautious about rising development costs and risk, vendors aren’t refreshing their lower-cost processors, hurting themselves and customers. They must consider business cases other than merely chasing the biggest customers.
Enlightra Team Demos Photonic AI Engine
A research team led by Enlightra’s founders demonstrated a new method of photonic AI inference that is smaller than earlier photonics implementations. Using photonics also provides opportunities for resource sharing that aren’t available with electronics.
MediaTek Delivers Efficient Cortex-X2
Our die-photo analysis reveals that the Dimensity 9000 features the smallest Cortex-X2 design, but Apple’s Avalanche CPU still leads in performance and power efficiency.
Exchange Rates. Forecasting Guide. Risk vs Uncertainty
Exchange Rates. Forecasting Guide. Risk vs Uncertainty G. Dan Hutcheson The Chip Insider® Comments, Questions & Answers: “Dan, what’s up with exchange rates?” The Euro is at parity with the Dollar and the Yen is approaching 140 to the dollar. Back at
SemiWEEK: SEMICON West and Forecast perspectives. SMIC’s 7nm Chips. EUV changing Fab Economics; Nidec SV TCL – Five Star Partner to Customers; Stocks soared
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Teardown: Lenovo Mirage VR S3 headset
Teardown: Lenovo Mirage VR S3 headset Virtual reality (VR) headsets have taken off in recent years after remaining stagnant due to more content becoming available for users to enjoy both movies, games and other entertainment forms. With the inception
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Temperatures are cooling off, but the path is still clear
Temperatures are cooling off, but the path is still clear Andrea Lati Order activity for semiconductor equipment continued to cool off, slipping to 88 degrees Despite the recent slowdown, order activity remains in a healthy expansion phase Bookings
Teardown: Apple Macbook Pro 16
Teardown: Apple Macbook Pro 16 While Apple may be most famous for its handheld internet-enabled devices, its Macbook Pro line has been a steady supply of revenue for the company for many years. The Macbook Pro has been a staple for many corporate IT
Cadence Cuts ConnX Costs
New ConnX 110 and 120 DSP cores upgrade the company’s BBE DSPs and are compatible with the higher-performance ConnX B10 and B20. They target wireless communications, radar, and lidar.
MCX Unifies NXP Microcontrollers
NXP is unifying its microcontroller offering with the new MCX family. Although this doesn’t indicate the end of the older families, it will be the focus for new designs that need modern features.
Editorial: Nanometer Nonsense
Both leading foundries allowed customers to claim they were using a 4nm process when, in fact, they were using 5nm technology. This situation renders node names meaningless.
Oppo Find X5 Pro improves Anti-Shake Technology with 5 axis image stabilization
Oppo Find X5 Pro improves Anti-Shake Technology with 5 axis image stabilization John Scott-Thomas The Oppo Find X5 Pro was released in March, 2022 and introduce 5 axis optical image stabilization. This allows a putative x3 improvement in anti-shake
SemiWEEK: HANMI – 10 BEST Korean Chip Equipment Supplier; Stocks surged
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
SEMICON West. SMIC’s 7nm Chips. EUV changing Fab Economics
SEMICON West. SMIC’s 7nm Chips. EUV changing Fab Economics G. Dan Hutcheson The Chip Insider® SEMICON West was packed as the world’s silicon-birds came back to their migratory path, after two years of various COVID forced lockdowns, in an attempt to
Temperatures are cooling off, but the path is still clear
Temperatures are cooling off, but the path is still clear Andrea Lati Order activity for semiconductor equipment continued to trend down, slipping to 89 degrees The rate of decline has moderated in the last two weeks following a steep drop in June
Teardown: Samsung Galaxy Z Fold 5G
Teardown: Samsung Galaxy Z Fold 5G Foldable phones, once thought to be a dream, have become a reality. While flip phones were introduced many years ago as one of the first iterations of expanding cell phone form factors, it wasn’t the screen that
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Teardown: Lenovo ThinkPad X1 Fold laptop
Teardown: Lenovo ThinkPad X1 Fold laptop Foldable electronics are growing in number but remain a niche market overall. Consumers are interested in the technology but the practicality of using foldable electronics is something that just hasn’t caught
Disruptive Technology: 7nm SMIC MinerVa Bitcoin Miner
TechInsights has discovered what appears to be SMIC 7nm technology in the MinerVa Bitcoin Miner SoC. Learn why this matters and its market impact by downloading our Disruptive Technology Brief today.
Webinar - Recent GaN Innovation, Moving from Consumer to Data Center and Automotive
In this webinar, Dr. Stephen Russell will delve into the innovations found within recently released GaN devices for both low-voltage (650 V) devices looking to enter the light industrial and even automotive markets.
RISC-V Extension Eliminates Division
RISC-V International, the governing body of the open-standard instruction set, recently approved four new specifications that address multiplication, bootloaders, and debugging, reducing the gap with Arm.
Imagination Launches RISC-V Core
Imagination Technologies has unveiled its first Catapult family member. Based on the RISC-V architecture, the CPU core targets real-time applications, putting Cortex-R52 in its sights.
Gaudi2 Makes Impressive MLPerf Debut
In the latest round of MLPerf Training results, Graphcore’s Bow offers a modest improvement and Habana’s Gaudi2 triples performance over its predecessor, vaulting past Nvidia’s A100 on one benchmark.
Upcoming New 3D NAND TLC Devices: Samsung 176L & 238L, SK hynix 176L, KIOXIA/WD 162L
Micron, and now other leading 3D NAND players such as Samsung, SK hynix, KIOIXA, and Western Digital (WD) have finished the risky product stage and just moved onto the high-volume product stage with a higher yield for SSD application. Dr. Jeongdong Choe compares each.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Intel 10nm Processors Target Comms
New Intel Atom processors have 4 to 24 CPUs and target enterprise networking and SMB storage. They extend the Snow Ridge product line and largely replace the Denverton family.
AlphaICs Joins Crowded Edge-AI Market
AlphaICs is sampling a test version of its Gluon edge-inference accelerator chip. Given moderate performance, the company plans changes to improve the production version, with an architectural overhaul planned for the second generation.
Dimensity 9000 now available in Plus Size
MediaTek and Qualcomm announced midlife kickers for their premium-smartphone processors, delivering the Dimensity 9000+ and Snapdragon 8+ Gen 1, respectively, and showing that not all 4nm processes are the same.
TSMC’s Technology Symposia, EUV Economics. Materials Outlook
TSMC’s Technology Symposia, EUV Economics. Materials Outlook G. Dan Hutcheson The Chip Insider® TSMC’s Technology Symposia: TSMC has hosted its annual technology tour-de-force in recent weeks. As for the live one in Santa Clara, I’m not sure who was
SemiWEEK: EUV is changing Fab Economics. Forecast Visibility; FormFactor Triple Crown & Top Focused Supplier; Stocks rose
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Edwards- Number 1 WFE Subsystems Supplier
Edwards- Number 1 WFE Subsystems Supplier Edwards Awarded 10 BEST Supplier
Disruptive Technology: ROHM Generation 4 SiC MOSFET
New ROHM 4th Generation SiC MOSFETs Featuring the Industry’s Lowest ON Resistance. Download the product brief for more details, and for a high-resolution image showing the trench structure with annotations.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Webinar - Memory Process and Integration Challenges: DRAM & NAND
In this Memory webinar, Dr. Jeongdong Choe gave an overview and discussed the latest memory technology trends and challenges, focusing on DRAM and NAND devices.
There's still plenty of light but it's cooling and clouds loom...
There's still plenty of light but it's cooling and clouds loom... Andrea Lati Order activity for semiconductor equipment tumbled five points in the last week of June as chipmakers continued to grow increasingly cautious about their near-term
Qualcomm RF Front End Expansion: Beyond Smartphones
Having a true end-to-end, modem-to-antenna solution and capitalizing on the benefits of having developed class-leading mobile applications with integrated baseband (BB) processors/modems, there is nothing missing from Qualcomm’s portfolio.
ASML- Only Five Star WFE Leader
ASML- Only Five Star WFE Leader ASML is awarded 10 BEST, THE BEST, and RANKED 1st awards
Worldwide silicon demand and OEM electronics sales are expected to slow to 7% and 6% in 2022 as semiconductor shortages are abating and Macro headwinds intensify
Worldwide silicon demand and OEM electronics sales are expected to slow to 7% and 6% in 2022 as semiconductor shortages are abating and Macro headwinds intensify ForecastPro Updates included are the following: ForecastPro: Silicon Demand – v22.07
Arm G715 GPU Catches Some Rays
Ray tracing in smartphones takes a big step with Arm’s new Immortalis-G715 GPU, while the Mali-G715 version brings new features and better performance to mainstream devices.
Enlightra Prunes Lasers From WDM
The startup’s technology can create a hundred optical data carriers from a single laser, greatly reducing the cost and power of high-bandwidth optical links that use wavelength-division multiplexing.
Cortex-X3 Powers Up
Arm’s new Makalu CPUs, branded Cortex-X3 and Cortex-A710, deliver performance and power-efficiency gains, respectively. The IP vendor also updated Cortex-A510 and the DSU-110.
SemiWEEK: KOKUSAI ELECTRIC - Customers Award Triple Crown; Stocks plummeted
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
EUV is changing Fab Economics. The Forecasting Problem.
EUV is changing Fab Economics. The Forecasting Problem. G. Dan Hutcheson The Chip Insider® EUV is changing Fab Economics: Last week I was at Frontiers of Characterization and Metrology for Nanoelectronics, to hear a battle of the presenters on EUV
ASM Pacific Technology- Wins its Sixth Triple Crown
ASM Pacific Technology- Wins its Sixth Triple Crown ASM Pacific Technology Awarded 10 BEST Supplier
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Nidec SV TCL- Five Star Partner to Customers
Nidec SV TCL- Five Star Partner to Customers Nidec SV TCL awarded one of THE BEST Suppliers in Test Subsystems
Temperatures are cooling as the summer fog rolls in
Temperatures are cooling as the summer fog rolls in Andrea Lati Order activity for semiconductor equipment continued to cool, falling by another three points in the fourth week of June Memory and SOC Consumer segments are driving much of the pullback
PowerUp Expo 2022
TechInsights presents @ PowerUp Expo 2022, June 28-30 Virtual Conference & Exhibition
TechInsights Acquires Strategy Analytics to Further Expand its Capabilities in Microelectronics Market Analysis
TechInsights is excited to announce the acquisition of Strategy Analytics, the foremost market analyst firm studying high value electronic products. The company supplies syndicated research across the global consumer technology sector, providing the deepest wireless and automotive coverage in the market.
Strategy Analytics joins TechInsights
We are proud to announce Strategy Analytics is now part of TechInsights. Strategy Analytics supplies syndicated research across the global consumer technology sector, providing the deepest wireless and automotive coverage in the market.
SiPearl Targets European Supercomputer
French startup SiPearl has received additional funding to complete the development of its Rhea supercomputing processor, which has changed considerable from its original specifications.
NXP Chip Combines Car Functions
NXP’s S32Z2 and S32E2 processors integrate multiple low-level automotive functions into a single SoC that preserves isolation between virtualized electronic control units (ECUs) from software through I/Os.
MIPS Releases First RISC-V CPUs
MIPS Inc. has developed its first licensable CPUs implementing the RISC-V instruction set by repurposing older MIPS-compatible cores. The P8700 and I8500 outperform most other RISC-V designs.
SemiWEEK: Chiplets a new design methodology? Becoming Great: Tom Tsuneishi. Lowering blame game probability; Foundry & IDM Capital Expenditures; Technoprobe 5th Year as #1 Probe Card Supplier; Stocks increased
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.
Foundry & IDM Capital Expenditures 2Q2022
Foundry & IDM Capital Expenditures 2Q2022 Shereen Vaux 2022 will be another record setting year for CapEx. Advanced Logic and Foundry will surpass the $100B mark in 2022 as chipmakers expand aggressively across all nodes. Discrete, Analog, & Other
Correctly Understanding the Intel 4 Scaling Value
Intel recently presented their Intel 4 process innovation at the 2022 VLSI Symposium. They shared quite a bit of information about the new process, as they have done with nodes previously before products were released.
HANMI- 10 BEST Korean Chip Equipment Supplier
HANMI- 10 BEST Korean Chip Equipment Supplier HANMI is awarded 10 BEST and THE BEST awards
Reverse Engineering the first Silicon-Oxygen Anode battery-based Smartphone
As part of the TechInsights Li-Ion Battery Essentials subscription, we had the opportunity to reverse engineer the Amperex BM55 battery pack found in the Xiaomi Mi 11 Ultra. Xiaomi claims this is the first smartphone to use a Silicon Oxygen Anode based battery.
TechInsights' Semiconductor Analytics Report
TechInsights' Semiconductor Analytics Report
Webinar: Practical Implementations of Advanced Silicon-based Anode Technology
This webinar discusses the practical implementations of advanced silicon anode technology from Panasonic/Tesla (NCR 21700 cell), ATL (BM55 from the Xiaomi Mi 11 phone) and Sila Technologies (Whoop 4.0 fitness tracker). The session will provide imagery and analysis comparing these industry leaders' anode structures and materials.
DRAM Scaling Trend and Beyond
When it comes to DRAM cell scaling, we refer to the cell pitch trends from Samsung, SK Hynix, and Micron DRAM products, including active, WL, and BL pitches.
It's cooling off but orders are still humming along
It's cooling off but orders are still humming along Andrea Lati Order activity for semiconductor equipment fell nearly three points in the third week of June, slipping below the 100-degree mark for the first time this year The recent declines are
FormFactor- Triple Crown & Top Focused Supplier
FormFactor- Triple Crown & Top Focused Supplier FormFactor takes 10 BEST Supplier Award
Cortex-M85 Is Fastest MCU Core
The superscalar Cortex-M85 is Arm’s fastest core for standalone microcontrollers and MCU-like subsystems. A vector unit helps it excel at AI.
Silicon Labs Adds AI To MCUs
Silicon Labs has added a small deep-learning accelerator to its newest wireless microcontrollers, reducing the power required for inference of tiny AI models.
Editorial: It's Getting Hot In Here
Server processors, AI accelerators, and switch chips are consuming more power and generating more heat, some as much as 950W. Data centers must change to accommodate these hot chips.
SemiWEEK: Memory Lithography and Product Strategy. Consistent Development Cadence results from solid Probability Management; EV Group’s Tenth Consecutive Triple Crown Win; Stocks plunged
Semiconductor WEEK: An overview of results and conclusions from recent reports at TechInsights.