Our packaging experts are looking across manufacturers and technologies, and
We proactively explore the largest consumer electronics markets to find innovative & disruptive semiconductor packaging events. When a disruptive event occurs, we analyze and report on it.
Our analysis spans market leads such as Intel, Samsung, Micron, Apple and more. And it covers a variety of device types such as processors (Micro, graphics, applications), camera modules, memory, and more.
Our experts are exploring and revealing leading-edge packaging technologies such as:
- System-in-Package (SiP) and Package-on-Package (PoP)
- Fan-in (FI) and fan out (FO) wafer level packaging (WLP)
- 2.5D and 3D Packaging
- Power Packaging
- Image Sensor Packaging
TechInsights has been publishing technology analysis for over 30 years, enabling our customers to advance their intellectual property and product strategies. For example:
Recent Report Summaries
- Samsung Exynos 9110 FO-PLP - Samsung's Next Generation of Semiconductor Packaging Technology (ACE-1810-803)
- Micron Technology MT43A4G40200NFA-S15 ES:A Hybrid Memory Cube Gen 2 3D Package with TSV (ACE-1810-801)
- Intel SR3RM 8th Generation Quad Core i5-8305G Processor with Radeon RX Vega M GL GPU (ACE-1804-804)
In addition to publishing packaging-focused reports, TechInsights maintains the world's largest database of semiconductor technology analysis. We photograph every device we analyze extensively, including package and cross-section images. We maintain hundreds of thousands of images relevant to packaging technologies and materials.
High-Density Fan-Out Package Technologies – Examination and Comparison
Original Date: April 9, 2019 / 2:00pm to 3:00pm ET
Hosted By: Michel Roy
Search our analysis and website
Recent News and Blogs

China's Chipmakers: Driving the Path to Semiconductor Self-Reliance
The rapid growth of China’s semiconductor industry is reshaping the global tech landscape. With strong government backing and a surging domestic market, Chinese chipmakers are making bold strides toward self-reliance in a geopolitically charged environment.
2025 Semiconductor Industry Forecast: Key Trends & Insights
Discover the top semiconductor trends for 2025, including AI-driven chip innovations, memory market shifts, and custom silicon advancements. View this free TechInsights report to stay ahead.
Chip Observer: February 2025
DeepSeek’s AI breakthrough shakes the market, U.S. tariffs and CHIPS Act funding uncertainty disrupt supply chains, and TechInsights uncovers major semiconductor advancements.
DeepSeek Surpasses ChatGPT
Discover how DeepSeek’s innovative approach to AI is challenging hardware reliance and setting new benchmarks in generative AI development.
China Enters 2025 with Big Memory Breakthroughs
TechInsights reveals not one, but two advanced memory developments out of YMTC and CXMT, and their impact on the semiconductor industry.
Year in Review: AI Continues March to the Edge
See how AI progressed to the edge in 2024, with breakthroughs in edge AI chips, NPUs, and 64-bit MCUs enhancing performance and efficiency.
The Software-Defined Vehicle is Rearchitecting the Industry
Explore how software-defined vehicles (SDVs) are revolutionizing automotive technology and industry dynamics, driving centralized architectures, ADAS-cockpit convergence, and new partnerships across the value chain.
Robotaxis Outshine Traditional Automakers in the Autonomous Revolution
Learn more about how robotaxi companies like May Mobility, Waymo, and Zoox are shaping the future of autonomous vehicles in 2025, while traditional automakers take a backseat.
SoC Design: Insights into Overcoming Challenges and Driving Innovation
Unlock the secrets of successful SoC design! Explore challenges, strategies, and innovative solutions in this on-demand webinar. Gain insights from TechInsights' analyses to enhance collaboration, de-risk strategies, and drive innovation in your projects. Watch now for free on the TechInsights Platform!
TechInsights’ Analysis of AMD’s MI300X Reveals Samsung HBM3
TechInsights reveals the first commercial use of Samsung's HBM3 memory in AMD’s MI300X AI accelerator. Discover how this breakthrough impacts AI processors, memory technology, and advanced packaging.
Innovations in Logic Scaling Performance for Semiconductors
Explore cutting-edge innovations in logic scaling performance. Discover nanosheets, CFETs, and next-gen materials shaping the future of semiconductors.
Leveraging TechInsights' array of technical analysis and cost models to gain valuable insights into advanced packaging and interposer technologies
This organization develops products that enhance high frequency and high voltage use in low-voltage transistor applications, essential for Data Centers, AI, High Performance Computing (HPC), and mobile applications requiring low quiescent current.
CES 2025: HL Klemove & Harman Unveil Integrated Automotive Platform
Unveiled at CES 2025, discover how HL Klemove and Harman's partnership is driving the shift to centralized automotive architectures by integrating cockpit and ADAS technologies.
Join TechInsights at CES® 2025
TechInsights is thrilled to announce that our expert analysts specializing in Consumer Electronics, Automotive, and Mobile will be attending CES® 2025!
Five Key Trends for RF/Analog and Sensors in 2025
2025 promises transformative shifts in mobile innovation, driven by generative AI, 5G advancements, and evolving global trade dynamics.
Five Key Trends for Power Semiconductors in 2025
Discover key trends shaping the power semiconductor industry's future, from AI-driven data center growth to advancements in SiC, GaN, and China's expanding ecosystem.
Five Key Trends for Wearables in 2025
In this blog, we explore the emerging trends driving the future of wearables. From the resurgence of smartwatches to advancements in sensors, the rise of smartglasses and smart rings, and new possibilities in virtual reality, the wearables landscape is undergoing a remarkable shift.
Five Key Trends for Automotive in 2025
TechInsights has identified five key trends that will define the automotive electronics landscape in 2025 and beyond. These insights are essential for industry stakeholders navigating this rapidly shifting terrain.
2024 Automotive Software Survey: Key Results into a Rapidly Evolving Industry
The 2024 Automotive Software Survey, conducted by Aurora Labs in partnership with TechInsights, sheds light on how the industry is grappling with these rapid changes and evolving consumer expectations.
Five Key Trends for Consumer Electronics in 2025
Explore the key trends shaping the future of consumer electronics in 2025. Access a detailed, free analysis on the TechInsights Platform to stay ahead of the curve.
Semiconductor Sustainability – Eight Moments that Mattered in 2024
Looking back on sustainability developments of the past year, from NVIDIA innovations to legal challenges for emissions reporting, Apple net-zero progress to the release of the first global semiconductor carbon emissions forecast.
Global Semiconductor Carbon Emissions Forecast, 2025-2030
To set the bar for semiconductor sustainability and provide analysis and leadership to address the industry's carbon footprint, TechInsights has developed the Global Semiconductor Carbon Emissions Forecast, 2025-2030.
Google Looks to the Future: Quantum Leap and XR Revolution
Discover how Google’s 105-qubit Willow quantum computer and Android XR spatial computing platform are shaping the future of technology.
Chip Observer December 2024
This month’s Chip Observer explores major industry developments, from Intel’s leadership shake-up and escalating US-China trade tensions to groundbreaking advancements in quantum computing and a political crisis in South Korea.
Leveraging TechInsights' Compute to optimize floorplan and technology selection processes, ensuring alignment with product objectives and accelerating product development cycles
The business has a strong position in semiconductor manufacturing and specializes in advanced logic chips and stays competitive by adopting and integrating new technologies quickly after their market introduction.
Huawei Mate 70 Pro+: Exploring the HiSilicon Kirin 9020 Processor
Discover the Huawei Mate 70 Pro+ powered by the HiSilicon Kirin 9020 processor. Explore our detailed analysis of SMIC’s 7nm process, updated circuit floorplan, and package design.
Using TechInsights Storage Analysis to Differentiate Advanced Memory Solutions
The IDM’s marketing team faced several unique challenges in promoting the benefits of investing in memory solutions, which are crucial differentiators and enablers for its products.
2025 Semiconductor Year in Preview
What is likely to happen in the Semiconductor industry in 2025? Join us for our upcoming webinar, 2025 semiconductor year in preview! Learn from TechInsights experts as they share insights on the latest from the US administration changes, NVIDIA announcements and 2nm and beyond.
Apple Pencil Pro 2024 (A2538) Teardown
Explore the Apple Pencil Pro 2024 teardown! Discover advanced features, manufacturing insights, and a detailed cost breakdown. View the summary for free now.
Using TechInsights’ Advanced Packaging to Effectively Position and Communicate a Product’s Value to a Target Audience
The marketing team at a fabless semiconductor company faced pressure to respond to a competitor's campaign that was touting enhancements to its product roadmap, driven by advanced packaging.
Using TechInsight’s memory market intelligence to avoid production delays and cost overruns and increase competitive positioning in the market
The customer’s device team was preparing for a major product launch scheduled about a year in advance, confident there would be no significant challenges with pricing or component availability.
Memory Market Developments in 2025 and Beyond
Join TechInsights for an in-depth webinar on Memory Market Developments in 2025 and beyond. Discover trends in DRAM, NAND, AI advancements, and more with top industry experts.
Insights into Logic Standard Cell Height Optimization
The evolution of logic scaling stands at the forefront of semiconductor innovation, shaping the efficiency and performance of next-generation devices.
Can Huawei Mate 70 Series Duplicate the Success of Mate 60 Pro?
Curious about the future of Huawei's flagship smartphones? Discover how the Mate 70 series stacks up against the highly successful Mate 60 Pro.
Chip Observer November 2024
Discover the latest in semiconductor advancements and challenges in the November 2024 Chip Observer. Explore licensing disputes, trade shifts, domestic tool breakthroughs, and key industry milestones, plus insights on SiC, GaN, and Nintendo.
Cost Modeling ICs in Apple iPad Air 13” – #1 The M2 SoC
Explore the cost dynamics of Apple’s M2 SoC in the iPad Air 13". Learn how TechInsights’ IC Cost and Price Model reveals hidden costs and boosts strategic decision-making.Finished Goods Stockpiling and Alarms During Q3 2024 Earnings Calls Precede Trump's Proposed Tariffs
The Wall Street Journal recently reported that American businesses are stockpiling finished goods in anticipation of new across-the-board global tariffs and significantly higher tariffs levied on China proposed in the second Trump administration.
How Trump Policies Could Disrupt Automotive Semiconductor Markets
Discover how Trump policies could impact automotive semiconductor demand, with a focus on EV sales, tariffs, and global trade.
Trump, CHIPS, and Tariffs: How the 2024 U.S. Election Will Reshape the Semiconductor Industry
Discover how the 2024 U.S. election could reshape the semiconductor industry with increased tariffs, CHIPS Act uncertainties, and shifting global supply chains. Prepare for what's next.
AI Procurement Outlook: A Record-Breaking Year for Semiconductors
Explore trends driving semiconductor growth, record-breaking sales, rising ASPs, and the impact of AI hardware demand on the global market.
Smartphone Sales in China Surge 26% YoY During 11.11 Festive 2024
TechInsights Mobile team estimated that the smartphone sales by volume during China’s largest online shopping festival 11.11 (Nov 11) in 2024 rebounded 26% year-over-year (yoy) to 9.5 million units, largely due to the longer sales window.
Using TechInsights' Mobile RF product to predict semiconductor equipment requirements for foundry and IDM customers
A leading semiconductor equipment manufacturer needed to determine the requirements imposed by the fabrication and packaging of RFIC on foundry processes and wafer fab equipment for their foundry and IDM customers over the next several years.
Using TechInsights Semiconductor Manufacturing Economics, Executive Insights and Connected/Smart Home Coverage to Enhance Procurement Negotiating
An industry leading designer and manufacturer of innovative sound systems that delivers high-quality audio for music, TV and smart home applications, used TechInsights analysis to improve their procurement negotiation tactics.
Memory Procurement Outlook: Navigating the Demand Surge
Discover key trends in memory procurement, including rising demand driven by AI and datacenter expansions. Learn about increasing prices, supply constraints, and the importance of advanced memory solutions like DDR5 and HBM in the evolving market landscape.
2025 Consumer IoT Outlook Report
Get a glimpse of the future as the global consumer IoT market is set to grow 8.8% in 2025, driven by generative AI, the Matter standard, and rising demand for smart home solutions!
The Blooming AI PC Processor Market: A $35 Billion Opportunity by 2029
Explore the booming AI PC processor market, projected to reach $35 billion by 2029 with a 42% CAGR. Discover insights on leading vendors, market share, and the rise of AI-capable CPUs with NPUs.
2025 Mobile Outlook Report
Get a glimpse of the 2025 mobile market revolution—AI-driven experiences, foldables, and Huawei's rise. Ready for what’s next?
2025 Connectivity Outlook Report
Get a glimpse of 2025’s wireless future, where 5G, Wi-Fi 7, and emerging RF technologies like GaN and GaAs drive faster speeds, lower latency, and enhanced connectivity for consumer and industrial applications.
2025 PC/Laptop Outlook Report
Get a glimpse of the pivotal trends reshaping the PC and laptop markets in 2025, from AI-powered upgrades to the end of Windows 10 support.
2025 Power Outlook Report
Get a glimpse of the 2025 power semiconductor market, where AI and compound semiconductors drive innovation and fuel growth amidst industry shifts.
AI Market Outlook 2025: Key Insights and Trends
Explore the AI Market Outlook 2025, highlighting key growth trends in AI hardware, from data center chips to edge devices. Discover how hyperscalers, enterprises, and startups are shaping the future of AI infrastructure.
Advanced Packaging Market: 2025 Outlook and Growth Trends
Explore the 2025 Advanced Packaging Market outlook with key growth trends, recovery insights, and technology shifts in AI, data centers, and high-performance computing.
Memory Market Outlook: AI Demand and Tight Supply Drive Resurgence
Explore the 2025 Memory Market Outlook, highlighting AI-driven demand, supply constraints, and projected growth across DRAM and NAND markets. Discover trends shaping future memory demand and revenue.
2025 Sensor Outlook Report
Get a glimpse of the transformative growth in the sensor market for 2025, driven by cutting-edge advancements in mobile imaging, automotive safety, and biometric security.
Unlock the Future of Devices with On-Device Generative AI: Market Disruption Ahead
Discover our eBook on on-device generative AI and its market-shaping potential, with insights into tech breakthroughs, industry impact, and innovation strategies.
Leveraging TechInsights’ Manufacturing Insights to enhance supply chain resilience and adaptability for capital equipment companies in a rapidly changing market
As a capital equipment organization, it’s essential to have a comprehensive understanding of your supply chain. This includes identifying key suppliers, assessing your current market position, and analyzing strengths and weaknesses relative to competitors.
2025 Manufacturing Outlook Report
Get a glimpse of 2025, where soaring IC demand and transformative technologies promise to reshape the semiconductor industry—are you ready to seize the opportunities?
Dr. Jeongdong Choe
Dr. Jeongdong Choe has a Ph.D. in electronic engineering and 30 years’ experience in semiconductor process integration for DRAM, (V) NAND, SRAM, and logic devices. A Ph.D. in Electronics (Semiconductor) from Sungkyunkwan University, he also holds master’s and bachelor’s Degrees in Materials Engineering (Metallurgical) from Yonsei University.
Material and Electrochemical Insights: SeLian-Hakadi Sodium-Ion Battery Advancements
Discover insights from TechInsights’ analysis of Hakadi’s commercial SIBs, highlighting innovative materials and performance enhancements that could revolutionize energy storage.
Using TechInsights' Mobile RF product to better position foundry services for fabless RFIC designs
Benchmarking RFIC PDKs for 5G mobile design? Learn how TechInsights' Mobile RF helps foundries enhance offerings with competitive analysis, optimizing die layouts, materials, and packaging.
Unlock the Future of Mobility with Automotive Semiconductors
Explore comprehensive teardowns, competitive benchmarking, and market trends driving innovations in autonomous vehicles, EVs, and ADAS systems.
2025 Compute Outlook Report
This report delves into the pivotal factors driving growth, the technology shifts on the horizon, and what to expect in terms of procurement and pricing in the coming years.
2025 AI Outlook Report
Discover how groundbreaking advancements in AI models, edge computing, and new regulations will redefine industries and create exciting opportunities in 2025.
Huawei Mate XT Teardown
Discover the technical innovations behind the Huawei Mate XT in this teardown analysis, exploring its foldable display, Kirin 980 processor, triple-lens camera, and 5G capabilities.
Unlock Insights into the Global Semiconductor Supply Chain
Unlock Insights into the Global Semiconductor Supply Chain Explore TechInsights’ In-Depth Semiconductor Supply Chain Analysis The semiconductor supply chain is the backbone of today's technology ecosystem. With ever-evolving demands in AI, IoT
Chinese Semiconductor Developments
Gain expert insights into Chinese semiconductor developments to overcome challenges and navigate global supply chain disruptions. Stay ahead with TechInsights' in-depth analysis.ATL-BA36 Silicon-enhanced Anode (Vivo X Fold 3 Pro) Battery Essentials
Discover the key insights from our analysis of the silicon-enhanced BA36 battery from the vivo X Fold 3 Pro smartphone.
China Display Vendors Drive Panel Competition Amid Sanction Risks
Explore the transformation of the smartphone display market as Chinese OLED vendors surge ahead, displacing traditional LCDs. Discover the impact of Apple’s upcoming iPhone SE launch and the potential challenges posed by looming sanctions. Uncover the dynamics shaping the future of OLED technology in smartphones.
Unlock In-Depth Insights for Effective Semiconductor Procurement
Optimize semiconductor procurement with insights from TechInsights. Mitigate supply chain risks, control costs, and make informed sourcing decisions.
Insights On-Demand Webinar Series: Navigating the Future of Semiconductors
Join TechInsights' Insights On-Demand Webinar Series to navigate the evolving semiconductor landscape. Our expert-led sessions cover key trends and technological advancements tailored for professionals in procurement, strategy, sales, marketing, engineering, and R&D.
Automotive Tech Trends: What to Expect in 2025
As we look towards the future of the automotive industry, several transformative trends are shaping up for 2025. The rise of electric vehicles (EVs), advancements in vehicle automation, and breakthroughs in semiconductor technology will redefine the driving experience and the tech powering it.
Editorial: The NPU Will Be the Workhorse of the AI PC
It’s still early days for the AI PC, where AI workloads are being run in roughly equal proportion on NPUs, GPUs, and CPUs. But in the not so distant future, we expect NPUs to take on the lion’s share of AI workloads in the AI PC.
Submit Your Questions
Have specific topics or burning questions you want covered in our upcoming webinar series? Now’s your chance to get involved.
Optimizing Foundry Costs with SME Models
Insights On-Demand Webinar Series Optimizing Foundry Costs with SME Models – A Deep Dive into 28nm and Beyond Maximize Savings and Mitigate Risks: Tools for Enhancing Cost Efficiency In today’s competitive semiconductor landscape, managing foundry
Unlock the Future of Devices: On-Device Generative AI and Market Disruption
Join TechInsights' exclusive webinar on October 17, 2024, to explore how on-device generative AI will reshape the competitive landscape in smartphones, PCs, and tablets. Learn from experts Eric Smith and Ville Ukonaho about the future of devices and market disruption.
Samsung Led the First Wave of India’s Festive Season Sale in 2024, Apple Follows
Explore TechInsights' analysis of India’s 2024 festive smartphone sales, where Samsung led the market, Apple surged in rankings, and online platforms dominated. Discover key trends and insights.Honor 200 Pro ELP-AN00 Smartphone Deep Dive Teardown
Discover how the Honor 200 Pro, powered by the Snapdragon 8s Gen 3, compares to the Google Pixel 8a with its Tensor G3 processor. Explore their similarities in design and performance.
Apple Watch Series 10 Teardown
Explore the Apple Watch Series 10 teardown as we reveal its slimmer design, larger display, and advanced components. Discover what’s new in Apple’s latest wearable technology.
Breakthroughs in Sodium-Ion Battery Technology
Sodium-ion batteries (SIBs) are gaining traction as a cheaper, safer alternative to lithium-ion batteries (LIBs). With abundant, lower-cost materials like sodium and aluminum, SIBs reduce production expenses by up to 10% compared to LIBs.
Free Access: Latest Component Price Landscape Report
Get free access to TechInsights' latest Component Price Landscape (CPL) 2Q Quarterly Summary, offering key insights into electronic component pricing trends and lead-time forecasts.
Apple iPhone 16 Teardown
Discover the intricate details of the Apple iPhone 16 in our latest teardown analysis. Explore design changes, internal components, and key upgrades including a new camera control system and the advanced A18 processor.
Unlocking Cost Efficiency and Capacity Forecasting
Discover the challenges procurement managers face in accurately assessing foundry costs and forecasting future production capacity for critical node expansions.
A First Look at Infineon’s and Industry’s First 400 V SiC MOSFET
TechInsights is excited to announce that we have Infineon’s, and the industry’s, first 400 V silicon carbide (SiC) MOSFET in-house.
2025 Memory Outlook Report
Discover the key trends shaping the memory market in 2025, including AI impacts on HBM and NAND growth. Stay informed with essential insights and forecasts.
2025 Advanced Packaging Outlook Report
Discover key insights from the Advanced Packaging Outlook Report 2025, covering trends like interposers for AI, Panel-Level Packaging (PLP), automotive chiplets, silicon photonics, and glass substrates, driving the future of semiconductor packaging.
Apple MacBook Air (A3113) Teardown
Explore the Apple MacBook Air (A3113), 13 inch teardown, uncovering key components like the M3 processor, WiFi 6E, camera subsystem, and a cost-effective yet premium design.
The Impact of Standard Cell Width on Future Innovation
Module two dives into logic scaling by focusing on standard cell width, setting the foundation with a recap of module one.
Hurricane Helene Disrupts Access to the World’s most Critical Quartz Mine
Discover how Hurricane Helene's disruption of North Carolina's critical quartz mines may impact the semiconductor supply chain, sourcing strategies, and market trends.
A18 Pro Processor in iPhone 16 Pro Max
Access the full analysis of the A18 Pro processor, including in-depth insights and performance breakdowns.
Leverage TechInsights’ Power product to uncover new market opportunities and establish your products as technologically superior
Marketing professionals at fabless semiconductor companies must navigate competitive markets like SiC, GaN, and PMICs, ensuring timely product launches and clear value propositions.
Unveiling the A18 vs A18 Pro – Key Differences in Apple's Latest SoCs
Discover the key differences between the A18 and A18 Pro processors in the iPhone 16 series. Log in to TechInsights Platform for the full analysis and detailed insights.
Using TechInsights Semiconductor Manufacturing Economics to minimize capex investment risk and ensure profitability
IDMs face high stakes in capex investments, balancing risks of over- and under-investment in new semiconductor manufacturing to stay competitive and profitable.
Using TechInsights Semiconductor Manufacturing Economics to establish competitive pricing
Case Study Using TechInsights Semiconductor Manufacturing Economics to establish competitive pricing The Challenge IDMs must design and price their products in a competitive market landscape. While they typically maintain some form of cost model for
Using TechInsights Compute Offering to Predict Future Node Requirements
Leading IDM partners with TechInsights to leverage industry benchmarking for developing next-gen 2nm semiconductor solutions, shaping future technology strategies.
AI-Driven Growth: The Future of Compute Servers Through 2029
Discover the future of compute servers in our latest report, exploring AI-driven growth and the rise of accelerated servers. Gain insights into market trends, financial performance of top OEMs/ODMs, pricing forecasts, and shipment projections through 2029.
Webinar - Semiconductor Industry Developments
Join industry experts Dan G. Hutcheson and Andrew Leach in a fireside chat discussing the latest semiconductor developments, including sanctions, subsidies, and silicon advancements. Gain valuable insights into market shifts, technological innovations, and geopolitical strategies shaping the industry's future.
iPhone 16 Pro Models: How Reduced Lead Times Enhance Availability
iPhone 16 Pro models now ship faster in key markets like the US, China, and the UK, with lead times reduced by up to 3 weeks. Learn more about the potential impact on sales.
Using TechInsights Advanced Packaging to Achieve a Broad Perspective of Commercialized Technologies
Discover how a company addresses miniaturization challenges by developing thermal models and exploring technologies to meet size, power, and thermal requirements for their applications.
Using TechInsights Executive Insights to Support Procurement Planning Cycles and Address Productivity Issues
Learn how a company's strategic acquisition aimed at expanding into high-growth sectors like security and smart home led to integration of vendors, pricing, and supply chain optimization.
Apple iPhone 16 Pro Max (A3295) Teardown
Experience the iPhone 16 Pro Max with its titanium design, A18 Pro chip, 6.9-inch OLED display, and advanced 48MP camera system. Discover unparalleled performance in 2024's flagship phone.2Q2024 Table Application Processor Market Model
Explore our latest report on the global tablet applications processor market, which grew 16% YoY in Q2 2024. Apple leads with 69% revenue share, while x86-based APs remain stable. Includes shipment and revenue data for 24 vendors, with estimates through Q1 2025.
Apple Vision Pro Utiizes SK hynix DRAM in R1 Chip
Discover how the SK hynix H5EA1A56MWA 1 Gb LLW DRAM enhances real-time processing and low-latency performance in the Apple Vision Pro AR headset with advanced memory architecture.
Apple AirPods Pro Disrupts Hearing Aid Market
Apple's AirPods Pro is set to disrupt the $12B hearing aid market with FDA-approved features and cutting-edge tech. Explore TechInsights' reports for a detailed breakdown of the tech driving this innovation.
Will Silicon-Based Anode Technology Take the Crown as the Future of High-Energy-Density Lithium Batteries?
The most used anode material for LIBs is graphite which has a specific capacity of 372 milliampere hours per gram (mAh/g). However, the energy density of LIBs can be improved with the incorporation of Silicon (Si) instead of graphite.
Using TechInsights to understand inflection points when new toolsets will be adopted
Explore how a leading DRAM equipment manufacturer drives innovation by providing cutting-edge solutions that enable the production of advanced DRAM devices and smaller technology nodes.
Using TechInsights' Mobile RF product to track design trends and gain a competitive advantage in mobile radio design
Learn how a market leader in 5G mobile platforms uses competitive benchmarking to identify growth opportunities and maintain an edge in the smartphone OEM market.
Using TechInsights Bill of Materials (BOM) Database to Achieve Substantial Savings
Discover how a leading foundry leverages TechInsights' expertise to navigate diverse customer needs, focusing on mainstream semiconductor technologies and strategic growth.
YMTC Xtacking4.0: Breaking New Ground in 3D NAND Technology
Explore the latest advancements in YMTC's Xtacking4.0 (Gen5) 3D NAND technology, including innovations in storage density, speed, and efficiency. Discover how it compares to previous generations.
2024 Compute – SoC Design Video Briefing 2
Join TechInsights’ upcoming SoC Design Analysis video briefing featuring TSMC, Samsung and Intel latest technology nodes, TSMC N3E, Samsung SF3 and Intel 4nm, through the lens of digital gates and standard cell libraries.
YMTC's Memory Developments Highlight China's Strong Position
YTMC’s latest Xtacking4.0 process has been observed in a new memory chip from the Chinese vendor. What can it tell us about the development of the domestic Chinese semiconductor industry in the face of US sanctions?
System-on-Chip Innovation Fuels Rapid Growth Through 2025
The System-on-Chip (SoC) market is entering a period of rapid growth, driven by innovations in chiplet integration, advanced packaging, and AI-powered designs.
Apple Vision Pro Battery Pack: In-Depth Technical Analysis and Performance Review
Discover the technical differences in battery design for Apple Vision Pro, Meta Quest 3, and HTC Vive XR Elite, exploring energy capacity, weight, and thermal management in AR/VR devices.
How is the Automotive Market Evolving? Discover Key Forecasts in Our NEW Reports
Explore the evolving automotive market with TechInsights' latest reports. Get detailed forecasts on key trends in infotainment, ADAS, electric vehicles, and more. Access up-to-date data on semiconductor and system-level components to make informed decisions.
AI Continues to Drive Demand For Memory Solutions
Discover how AI will shape the memory markets by 2025, including growth in HBM, SSD demand, capex shifts, Edge AI impact, and delayed server refresh cycles. Explore these insights for free on TechInsights—sign up for full access and potential updates.
Apple A18: Incremental Upgrade with Strategic Focus
The Apple A18 and A18 Pro processors offer refined performance with minor improvements over the A17 Pro, highlighting Apple's focus on advancing AI capabilities and on-device intelligence.
Apple iPhone 16 Camera Control Button
Discover how the new Apple iPhone 16 Camera Control button impacts the placement of the mmWave antenna. Explore the trade-offs between enhanced photo features and 5G connectivity in our detailed analysis.
Huawei Mate XT: Unveiling the World's First Trifold, Triple-Screen Foldable Smartphone
Discover the Huawei Mate XT, the world's first trifold, triple-screen foldable smartphone with a 10.2-inch OLED display, advanced camera system, and cutting-edge features like satellite communication and fast charging.
Apple Watch is Turning 10: A Decade of Innovation and Evolution
Explore the evolution of the Apple Watch as it marks its 10th anniversary. Discover how Apple has maintained market dominance with innovative designs, consistent features, and cost management strategies through nine generations of smartwatches.
Apple iPhone 16 Series: Riding the AI Wave
Explore Apple’s iPhone 16 series with advanced A18 chipsets, larger displays, and new AI-driven features. Discover how the iPhone 16, 16 Plus, 16 Pro, and 16 Pro Max are set to outperform their predecessors in 2024.
Apple iPhone 16 Series Launch Signals Strong Growth in India but an Uphill Battle in China
Explore how Apple's iPhone 16 series launch is set to boost growth in India with strategic price cuts, while facing significant challenges in China due to local competition and regulatory issues. Discover the implications for Apple’s global strategy and market performance in 2024.
Apple Set to Surpass Samsung as the Largest Smartphone Maker in 2025 for the First Time
Apple is set to surpass Samsung as the world’s largest smartphone maker in 2025. Key factors include aggressive pricing strategies, the launch of the iPhone SE (2025), technological innovations, and favorable macroeconomic conditions. Discover how Apple’s comprehensive approach positions it for historic growth while competitors face their own challenges.
Using TechInsights Market Intelligence to Increase Battery Sales and New Logo Sales
Learn how TechInsights’ Market Intelligence helped a leading battery supplier boost sales and secure new contracts in the smartwatch industry by providing data-driven insights and targeted sales strategies.
How TechInsights’ Compute and Advanced Packaging Drove First-Mover Advantage in the Data Center Market
See how TechInsights’ Compute and Advanced Packaging provided a leading electronics manufacturer with critical insights into the data center ecosystem, enabling them to secure first-mover advantage and align their products with market demands and end-use applications.
How TechInsights’ Memory Analysis Accelerated Market Entry and Strengthened Business Cases for a Fabless NVM Innovator
Discover how TechInsights’ Memory analysis empowered a fabless company to position its embedded NVM technology effectively, accelerate market entry, and build strong business cases for IDM and foundry partnerships through precise process flow and cost modeling.
How TechInsights’ Advanced Packaging Helped a Leading Semiconductor Manufacturer Optimize Thermal Performance and Boost Market Share
Learn how TechInsights’ Advanced Packaging analysis enabled a top semiconductor manufacturer to evaluate and select optimal packaging technologies, enhancing thermal performance and accelerating time to market while boosting reliability and market share in their applications.
How TechInsights’ Memory Offering Helped a Fabless Company Conquer the Embedded NVM Market
Discover how a fabless memory core designer leveraged TechInsights' Memory and Advanced Packaging analysis to gain a competitive edge in the growing embedded NVM market, enhancing product reliability and market share.
Why the iPhone 16 Series Launch Is Crucial for Apple
Discover why the iPhone 16 series launch is crucial for Apple as it aims to compete in the AI smartphone market and secure its place among top industry leaders.
Hyperscalers & Cloud Providers Shaping AI with Custom ASICs
Discover how hyperscalers and cloud providers like Google, Amazon, and Facebook are revolutionizing AI with custom-built AI accelerator ASICs. Learn how this trend is optimizing performance, cutting costs, and reshaping the tech industry.
Global Fitness Band Market Forecast 2012-2029
Explore the shifting dynamics of the global fitness band market, including rising ASPs, regional trends, and future growth prospects amid increasing competition.
Global Smartphone Shipments Slow to 4% YoY Growth
Explore the global smartphone market trends in July 2024, with slowing growth in shipments and sales, inventory insights, and top brands like Samsung and Apple leading the market.
Smartwatch Market Rebounds: 4% Growth in Q2 2024 After Six Quarters of Decline
Discover how the global smartwatch market returned to growth in Q2 2024 after six quarters of decline, driven by brands like Garmin, Huawei, and Google.
Navigating the Future of Semiconductor Sustainability
Discover how the semiconductor industry is navigating sustainability challenges and opportunities, from advanced packaging to automotive electronics, chip manufacturing, and artificial intelligence.
Gaining Transparency into Supplier and Competitor Costs with TechInsights Semiconductor Manufacturing Economics
Learn how procurement professionals and product directors at fabless semiconductor companies can gain transparency into supplier and competitor costs using TechInsights' Semiconductor Manufacturing Economics, enabling them to realize potential revenue gains by securing better pricing and negotiating stronger contracts.
Leveraging TechInsights Semiconductor Manufacturing Economics to Secure Favorable Pricing for Existing and Newly-Designed IC Products
Discover how fabless semiconductor companies can leverage TechInsights' Semiconductor Manufacturing Economics to secure annual savings by obtaining favorable pricing on existing and newly-designed IC products, while mitigating supply-chain risks with advanced cost models and global foundry data.
How a Leading Wireless Audio Developer Used TechInsights to Streamline Procurement, Drive Innovation, and Gain a Competitive Edge
Learn how a leading wireless audio developer used TechInsights' Semiconductor Manufacturing Economics, Executive Insights, and Consumer Electronics products to streamline procurement, drive innovation, and gain a competitive edge, resulting in significant cost savings and strengthened market leadership.
Using TechInsights Semiconductor Manufacturing Economics to Source Discrete Semiconductors and ICs at Favorable Prices
Discover how TechInsights' Semiconductor Manufacturing Economics helps product managers and procurement professionals secure over $1 million in annual savings by sourcing discrete semiconductors and ICs at favorable prices, while mitigating supply-chain risks with detailed cost models and global foundry data.
Apple iPhone 16 Release on September 9 with Debut of Generative AI iPhone
Discover in-depth analysis and insights on the latest Apple innovations, including the upcoming Apple iPhone 16. Log into TechInsights for all the details.
Chiplets and Advanced Packaging: A Deep Dive into Industry Evolution and AI Integration
Discover how chiplets and advanced packaging are revolutionizing the semiconductor industry in our latest eBook. Explore key trends, industry leaders, and future innovations driving AI and performance advancements.
The Role of Efficient Cores in Advanced Processors: Maximizing Performance
Learn how modern processors use diverse core designs to boost performance and efficiency. Explore the evolution from symmetric multiprocessing to advanced architectures like Arm's big.LITTLE and Intel's P/E cores for better performance and energy savings.
Using TechInsights Power Offering to Inform Internal Stakeholder decision making and Company Strategy
Discover how a leading semiconductor company used TechInsights’ Power Offering to inform strategic decisions, optimize product development, and enhance marketing for wide band gap devices.
The Chip Observer (August Edition)
Explore how Intel's CPU warranty extensions, AMD's and NVIDIA's delays, and the CHIPS Act impact the semiconductor landscape. Discover the challenges facing OpenAI and Meta in AI regulation and leadership. Uncover the competitive race among Samsung, TSMC, and Intel in nanosheet and chiplet technology.MediaTek MT7925 (Filogic 360) Wi-Fi 7/BT 5.4 SoC Floorplan Analysis
Uncover the MediaTek BM11373A1 die inside the Filogic 360 MT7925BEN, a Wi-Fi 7 and Bluetooth 5.4 solution found in the HP Envy x360 laptop with AMD Ryzen 5 CPU and 16GB RAM.
Webinar - Chiplets and Advanced Packaging: Moving the Industry Forward
Join TechInsights' webinar on 'Chiplets and Advanced Packaging: Moving the Industry Forward.' Discover the latest advancements in chiplet technology and advanced packaging, and how they are driving innovation in the semiconductor industry.
Q3 2024 Automotive Infotainment and Telematics Report
Discover how stable vehicle production is shaping the automotive infotainment and telematics market in TechInsights' Q3 2024 update, where the focus is shifting toward software innovation in a mature landscape.
Using TechInsights Analysis and Models to Negotiate Semiconductor Pricing
Learn how a leading luxury automobile company used TechInsights’ semiconductor pricing analysis and models to optimize their semiconductor procurement strategy.
Leveraging TechInsights Manufacturing Equipment Market Analysis to Bolster its Market Position
Explore how a leading Capital Equipment company strengthened investor confidence and bolstered its market position by leveraging TechInsights’ Manufacturing Equipment Market Analysis.
Using TechInsights Advanced Packaging for Market Planning
Discover how one of the world’s leaders in process control leveraged TechInsights' Advanced Packaging solutions to navigate the complex semiconductor industry.
TechInsights’ Sustainability Tools Used to Drive Down Carbon Emissions in the Supply Chain
Learn how TechInsights' Sustainability tools helped a leading fabless semiconductor company drive down carbon emissions across its supply chain.
Securing the Supply Chain
Discover how a leading automotive manufacturer secured a stable semiconductor supply chain with TechInsights' advanced analytics. The client mitigated risks, enhanced visibility, and gained a competitive edge in a volatile market by evaluating market trends, analyzing supplier capabilities, and leveraging predictive tools.
Gaining the Competitive Edge
Discover how a key player in image sensor technology gained a competitive edge with TechInsights' strategic intelligence. Through detailed competitive reports, cost efficiency, and rapid access to critical data, the company enhanced decision-making, optimized resource allocation, and stayed ahead of market trends.
Elevating Product Development
Discover how TechInsights' comprehensive market data and strategic insights help product development teams elevate their planning and growth strategies.
Vivo Hits New High: Fourth Largest Smartphone Brand Worldwide in Q2 2024
Discover how Vivo soared to fourth place in global smartphone rankings for Q2 2024. Explore their shipment growth, market impact, and strategies for future expansion.
Using TechInsights Semiconductor Manufacturing Economics to Ensure Competitive Pricing and Diversify Their Supply Chain
Discover how an Integrated Device Manufacturer for wireless communications utilized TechInsights Semiconductor Manufacturing Economics to secure competitive pricing and diversify their supply chain.
Google’s Pixel 9 Series: Driving Growth and Expanding Horizons
Discover how Google’s Pixel 9 series, with its advanced features and expanded market reach, is driving impressive growth and approaching profitability. Explore the latest innovations and strategic moves boosting Pixel's success in 2024.
Apple Exceeds Expectations: iPhone Shipments Surge in Q2 2024
Apple's iPhone shipments rose in Q2 2024, driven by discounts and growth in emerging markets. While facing challenges in China, Apple's shift to India and new AI advancements set the stage for future success. Explore the key trends and what's ahead.
Huawei Pura 70 Ultra’s 5G Radios: A Deep Dive into RF Components
Explore the advanced 5G radio design of Huawei’s Pura 70 Ultra in this detailed analysis. Discover how Huawei continues to innovate with entirely ‘made in China’ RF components and dual satellite communication technology.
Tablet Market Soars in Q2 2024: Apple, Samsung, and Lenovo Lead the Charge
The global tablet market surged 14% in Q2 2024, with Apple, Samsung, and Lenovo leading the growth. Discover key trends and market insights in our latest report.
Notebook PC Market Sees 4% Growth in Q2 2024 as AI PCs Emerge
Explore the 4% growth in the Q2 2024 notebook PC market, driven by strong commercial demand and the emergence of AI-powered PCs. Get detailed insights in our full report in the TechInsights Platform.
Comprehensive Analysis of Apple Watch Models: 2024 Q2 Insights
Explore Apple's Q2 2024 smartwatch market performance, focusing on shifting sales trends, revenue impacts, and the evolving role of cellular connectivity in the Apple Watch lineup.
Navigating Market Complexity
Discover how strategic insights from TechInsights empowered a marketing leader to overcome market complexities and fortify their intellectual property.
TSMC vs. Fujitsu: A Brief Comparison of 22ULL Embedded ReRAM Technologies
STT-MRAM and ReRAM are leading the charge in the evolution of memory technology, with significant advancements being made in these areas. Both are increasingly used in automotive, IoT, and other high-growth applications, surpassing older memory technologies like FeRAM and PCRAM.
Using TechInsights to Optimize Semiconductor Selection and Costs
Discover how a leading automotive manufacturer optimized semiconductor selection and reduced costs using TechInsights' comprehensive BOM data, advanced cost models, and engineering analysis tools.
Using TechInsights Compute Offering to Mitigate Core Design Risk
Discover how one of the world’s leading CPU providers leveraged TechInsights’ Compute offering to enhance their semiconductor analysis and mitigate core design risk.
Qualcomm's New Mobile Chipset: Making 5G Affordable by Reducing BOM Costs
At MWC2024, Qualcomm announced a groundbreaking development: 5G smartphones priced at $99 and below. Since 5G's debut in 2019, high costs have hindered widespread adoption, with prices rarely falling below $200. The Snapdragon 4s Gen 2 aims to change that by offering cost-optimized technologies.
Smartphone Market Grows 8% in Q2 2024: Emerging Markets Lead Recovery
The global smartphone market grew 7.6% year-over-year in Q2 2024, reaching 289.6 million units. This marks the third consecutive quarter of recovery, driven by strong demand in emerging markets like Africa, Latin America, and Asia-Pacific.
WELION's Semi-Solid-State Batteries: Transforming EVs and Small Power Products
NIO, the Chinese electric vehicle manufacturer, recently tested its new semi-solid-state batteries during a 14-hour, 650-mile journey with CEO William Li driving the ET7 sedan. These batteries, developed by Chinese company WELION, boast a 150-kWh capacity, promising extended range and faster charging times.
Battery Breakthroughs in EVs: Chevy Bolt, Tesla Models, and Hyundai IONIQ 5
The electric vehicle (EV) market is rapidly expanding, with millions of new electric cars registered globally, bringing the total on the roads to tens of millions.
Exploring Apple Vision Pro’s Revolutionary Eye Tracking System
In our series on Apple Vision Pro, we now focus on the headset's eye tracking system. This advanced technology allows users to control the interface with their gaze, replacing traditional input methods like a mouse or trackpad.
TDK CeraCharge™ - the First Rechargeable all-Ceramic SMD Battery
TDK CeraCharge™ - the First Rechargeable all-Ceramic SMD Battery Discover the advancements in IoT power sources with TDK CeraCharge™, the first rechargeable all-ceramic solid-state SMT battery. Learn about its innovative materials, performance
Chiplets Moving Into the Fast Lane: Transforming Automotive Processors
Chiplets moving into the Fast Lane: Transforming Automotive Processors Discover how chiplet technology is transforming the automotive industry, offering higher yields, faster development, and reduced costs. TechInsights predicts significant chiplet
Smart Home Device Shipments to Exceed 2.5 Billion in 2030
Discover how the smart home device market is set to exceed 2.5 billion shipments by 2030. Learn about the key drivers, regional insights, and strategic opportunities that will shape the future of smart home technology.
Webinar - Can Sustainability Efforts Withstand the Growing Power Needs of AI, EVs, and Augmented Reality?
Join us as TechInsights’ Sustainability Team discusses these key issues facing the semiconductor industry in 2024 and beyond.
KIOXIA/WD BiCS8 218L CBA 3D TLC NAND
Discover the latest Hybrid Bonding technology with the KIOXIA/WD BiCS8 CBA 3D TLC NAND. Analyzed by TechInsights, this innovative device showcases an advanced edge-XDEC floor plan and a sophisticated 2-deck integration.
Samsung SF3 (2nd Gen 3nm GAA) in Exynos W1000 Processor Confirmed
Initial SEM results confirm Samsung’s 2nd generation 3nm GAA technology in the Exynos W1000 processor. Discover key insights and implications for high-volume manufacturing requirements in our latest analysis on the TechInsights Platform.
AI's Influence on Advanced Packaging: Mid-Year Briefing Highlights and Innovations
Topics covered include TSMC’s recent announcements of an expanded technology offering for system-on-wafer (SoW) and new targets supporting continued interposer scaling in 2.5D chip-on-wafer-on-substrate (CoWoS) technology that is underpinning the AI boom.
Apple Vision Pro: Revolutionizing Face ID with TrueDepth Technology
Spatial computing integrates a user’s virtual environment with their physical surroundings, and Apple's Vision Pro achieves this with a suite of advanced sensors. While LiDAR, or Light Detection and Ranging, plays a significant role in mapping the user's space, the TrueDepth camera system adds another layer of depth sensing.
Exploring the New Samsung Galaxy Watch 7 Ultra: Unboxing
Explore the new Samsung Galaxy Watch 7 Ultra with TechInsights as we unbox and analyze the powerful Exynos W1000 processor. Join us as we uncover the latest in wearable technology and delve into the innovations driving Samsung's newest smartwatches.
Samsung Galaxy Watch 7
Discover the Samsung Galaxy Watch 7 with TechInsights. Explore the latest Exynos W1000 processor, advanced health tracking, AI wellness tools, and our detailed technical analysis.
Samsung Exynos W1000 Processor
Get an in-depth first look at the Samsung Exynos W1000 processor in the Galaxy Watch 7 Series. Discover the 3nm gate-all-around process, critical dimensions, and upcoming detailed analyses on TechInsights.
Taking Monolithic Designs to Disaggregation
The term ‘chiplet’ has come into use to describe an evolved design strategy moving past monolithic system-on-chip (SoC) to the heterogeneous integration of a disaggregated design in a system-in-package (SiP).
Chiplet Technology: Disrupting Chip Design and Fueling Growth
The semiconductor industry is facing a turning point with the rise of chiplet technology (also known as chiplet-based design or semiconductor chiplet technology). This revolutionary approach has been made possible by the advances in chip-to-chip interconnect and is poised to reshape chip production and design, particularly within the computing segment.
Apple R1 Adopts TSMC’s Latest Package
Apple’s R1 sensor hub chip in the Vision Pro AR/VR headset is the first use that TechInsights has seen of TSMC’s InFOM advanced packaging technology. The R1 has a 5nm processor chip plus two LLW DRAMs linked by short very-high-density interconnect to speed up the data processing.
LiDAR Technology in Apple Vision Pro
Spatial computing is a highlight of the new Apple Vision Pro headset. This device uses various sensors to integrate virtual and physical environments by sensing the three-dimensional structure of the user's space and eye movements.
The Chip Observer (June Edition)
Dive into the latest Chip Observer for June 2024! Explore major advancements in AI PCs, competitive shifts in datacenter GPUs, and insights on the recovering memory market. Discover how NVIDIA’s dominance is being challenged and get the scoop on IBM’s strategic moves.
Samsung Foundry's Next-Gen GAA Process Expected in Galaxy Watch 7
Discover the latest innovation from Samsung Foundry with their next-gen GAA process expected in the Galaxy Watch 7. TechInsights analysts provide insights from the Samsung Galaxy Unpacked Conference, building on last year's groundbreaking SF3E process technology.
Webinar - 2024 is the Year of the AI PC: Hype or Reality?
Explore the potential of 2024 as the Year of the AI PC. Join TechInsights’ experts David Watkins and Eric Smith to discuss the emerging AI PC market, sales projections, and the future of computing.
Volkswagen's $5 Billion Rivian Investment
Discover how Volkswagen’s $5 billion investment in Rivian could reshape its CARIAD software division, boost Android Automotive OS adoption, and influence future EV developments.
SEMICON WEST 2024: The Premier Microelectronics Event
TechInsights will be attending and presenting at SEMICON WEST. Join us to explore groundbreaking technologies transforming the microelectronics sector and enabling smart applications.
Scaling Logic for the Future: Unveiling the Next Decade's Evolution - Module 1
In the realm of integrated circuit design, scaling plays a pivotal role in driving technological advancement. Initially spurred by Moore's Law in 1965, which highlighted the economic feasibility of cramming more components into circuits, scaling has since evolved through constant innovation.
FinFET Transistors: Tracing the Path of Evolution
FinFET transistors, or Fin Field Effect Transistors, have revolutionized advanced semiconductor manufacturing by replacing planar FET transistors, starting with Intel's 22nm technology and later adopted by other foundries at the 16nm node.
Intel's i3 Process at the VLSI Technology Symposium: A Comparative Analysis
Intel's i3 Process at the VLSI Technology Symposium: A Comparative Analysis Discover Intel's latest i3 process unveiled at the VLSI Technology Symposium, showcasing advancements in semiconductor technology. With enhanced transistor density and
Revolutionary 32 Gb DRAM Chip: Advanced Node and Unmatched Capacity
TechInsights recently analyzed a new DDR5 DRAM chip from Micron Technology. This 32 Gb chip, capable of speeds up to 5,600 MT/s, doubles the capacity of its 16 Gb predecessor and features a significantly larger die size.Forecast: Global Wearables Shipments by Type by Vendor by Quarter: 2017 to 2024
Global wearable shipments are forecasted to grow by 5.6% in 2024, after declining by 4.9% in 2023 and before that declining by 6.3% in 2022. Apple, Huawei, and Samsung will remain the market leaders in the global total wearables market.
Global Smartphone Replacement Rate: North America No longer Leading
Global Smartphone Replacement Rate: North America No longer Leading Discover the global shift in smartphone replacement rates as North America loses its lead. Central & Latin America (CALA) will take the top spot in 2024, followed by Central &
Dive into Xiaomi CyberDog 2: How Does It See the World?
Discover the advanced sensory technology of the Xiaomi CyberDog 2. With 19 sensors, including vision and distance measuring cameras, this cyber pet offers impressive face recognition, object avoidance, and mapping capabilities.
End Market Demand for Advanced Packaging
In our latest spotlight series, we delve into the technology advancements that are revolutionizing the datacenter industry.
Evolution of Standard Cell Libraries: Advancements and Innovations
Standard cell libraries are indispensable in modern semiconductor design, providing foundational blocks of Boolean logic functions essential for chip implementation.
Teardown of the Vivo X Fold3 Pro Foldable Smartphone
Join TechInsights as we teardown the Vivo X Fold3 Pro, featuring the Qualcomm Snapdragon 8 Gen 3 processor, 16 GB of RAM, and a cutting-edge camera system. Discover detailed insights into its design, battery technology, and unique features.Renesas RoX Development Platform for R-Car Processors
Renesas launched its R-Car Open Access (RoX) development platform for software-defined vehicles (SDVs). Designed for the Renesas R-Car family of system on chips (SoCs) and microcontrollers (MCUs), the aim is to speed development, reduce complexity, lower cost, and optimize deployments of next-generation vehicles.
6.18 Online Festival in China: Smartphone Sales Down 2% Annually
The 2024 6.18 Online Festival in China revealed a nuanced picture of smartphone sales. Despite a 2% decline in unit sales, stable market value was maintained thanks to higher average selling prices amid a broader 3% drop in overall online sales.
Teardown Unboxing: Exploring the Vivo X Fold3 Pro
Explore the innovative design and advanced features of the Vivo X Fold3 Pro, the thinnest foldable smartphone on the market. Learn about its durable carbon fiber hinge, Vivo Armor architecture, and premium aesthetics in our detailed Teardown and analysis.
Apple Vision Pro: Exploring the Design and Sustainability of Its Innovative Battery Pack
In 2024, Apple launched its groundbreaking AR/VR system, the Apple Vision Pro, featuring a notable departure from conventional designs with its external battery pack.Global Smartphone Installed Base Forecast by Operating Systems for 88 Countries: 2007 to 2029
TechInsights smartphone research team predicts global smartphone installed base will grow 2% YoY in 2024 and 2025. The growth rate will be modestly improved from 2026 through 2029 driven by technology innovations and the penetration of on-device AI smartphones. Android and Apple are expected to retain market leadership with shares of 82% and 16% in 2024, respectively.
Intel FIVR gets a new inductor
Explore Intel's latest Coaxial Magnetic Integrated Inductor (Coax MIL) technology, enhancing voltage regulation in high-performance processors like Sapphire Rapids. Discover its impact on Intel's shift from on-motherboard to fully integrated voltage regulation and future developments in processor architecture.Apple A17 Pro SoC Small CPU Design Analysis
Dig into this analysis of the standard cells comprising 70% of a target logic area in the the Apple A17 Pro CPU2 core, fabricated using FINFLEX methodology by TSMC in N3B process node. Standard cells schematics are extracted to determine routing efficiency, gate density, and global metal usage survey.
Towering Memory: HBM and Verticality
Discover how the AI arms race is driving advancements in high bandwidth memory (HBM) technology, with AMD, NVIDIA, Google, and Meta pushing the limits of DRAM stacking. Learn about JEDEC's updates, hybrid bonding innovations, and their impact on data center performance.
Automotive Market Outlook Report
Automotive Market Outlook Report Automotive Semiconductor Forecast and Vendor Share Discover the latest Automotive Market Outlook Report, analyzing Q1 2024 trends and challenges in the automotive semiconductor landscape. Amid geopolitical tensions
网络研讨会: 2024年是AI PC之年:炒作还是现实?
近年来,全球PC市场经历了一段动荡时期,COVID 强制封锁导致 2020 年和 2021 年PC需求激增,但随后两年又出现大幅下滑。
Navigating the Semiconductor Landscape: Trends, Investments, and Future Outlook (eBook)
Delve into market trends, regional dynamics, and future projections in the semiconductor industry. Discover insights from industry leaders and analysts on key topics like lithography advancements, the rise of Chinese manufacturers, and global investment strategies.Thank you for your interest in our eBook
Thank you for registering to download the eBook.
Introducing TSMC N3E: The Power Behind Apple's M4 SoC
In a recent teardown of the Apple iPad Pro 11-inch, TechInsights revealed details of Apple's latest silicon: the Apple M4 SoC, codenamed TMRV93, built on TSMC's advanced N3E process. This surprise release demonstrates Apple's agility in adopting cutting-edge semiconductor technologies ahead of schedule.Thank you for your interest in our on-demand webinar
Thank you for registering to watch the on-demand webinar.Insight: Understanding the Impact of EV Demand on the Automotive Semiconductor Market
Electric vehicles (EVs) have significantly higher semiconductor content than traditional gasoline or diesel models. This analysis looks at five different scenarios for vehicle powertrain demand in 2030 and examines what the impact on the automotive semiconductor market will be.Tracker: Global 5G mmWave Smartphone Shipments Forecast by Vendor by Quarter to 2025
We expect the United Stated (US) and Japan to remain main advocates for mmWave in smartphone use. Apple and Samsung are the biggest mmWave device vendors owing to their share from the US market. This report forecasts global 5G mmWave shipments by 28 vendors by quarter from 2018 through 2025.
2xx-Layer Products from Samsung, SK hynix, Micron, and YMTC
Explore the latest advancements in 3D NAND technology with our comparison of multi-layer products from Samsung, SK hynix, Micron, and YMTC. Understand how Vertical Cell Efficiency (VCE) impacts performance and discover which manufacturers lead in this crucial metric.Q1 2024 Google: Geographical Expansion and New Product Line-up Expected
Google Pixel smartphone shipments grew by 35.3% YoY in Q1 2024, reaching 2.3 million units. Consequently, Google outperformed the broader smartphone market, which saw YoY growth of only 9.7%.Global Bluetooth Tracker Device Sales Forecast through 2029
The number of Bluetooth tracking devices sold globally in 2029 will be 50% larger compared to those sold last year. Bluetooth tracking devices have come of age. Bluetooth tracking devices, like Apple’s AirTag or Tile’s tracking devices, are growing in popularity.
Tracker: Global Wearables Microvendor Market Share : 2024 Q1
A huge number of second-tier MICROVENDORS are playing a meaningful role in the global wearables market, flooding the market with new, niche, and segmented offerings. Global wearables shipments stabilized in Q1 2024, though still declined slightly, marking the sixth consecutive quarter of declines amid continued macroeconomic weakness and geopolitical uncertainty, causing a lengthening replacement cycle and limiting consumer spending.
Deep Dive Teardown of the Valve Steam Deck OLED 1030 Handheld Game Console
Deep Dive Teardown of the Valve Steam Deck OLED 1030 Handheld Game Console Share This Post The Valve Steam Deck 1030 is a handheld gaming console that thanks to SteamOS 3.0 operating system can be used almost as a laptop or touchpad. The device has
Taiwan’s Green Energy Conundrum – Balancing Increasing Demand with Sustainability Targets
Taiwan's ambitious net-zero emissions goal by 2050 faces challenges due to limited resources, high tech sector demands, reliance on imported fuels, and a contentious energy debate, despite significant gains in renewable energy.
Unveiling Spatial Computing in the Apple Vision Pro: The Sensors Powering the Future
The Apple Vision Pro headset introduces a new level of immersive computing through "spatial computing," merging virtual widgets with your real-world environment.
ODM Sales Soar as Hyperscalers and Cloud Providers Go Direct
Discover how ODM sales are soaring as hyperscalers and cloud providers like AWS and Google go direct, reshaping the server market with custom silicon and hybrid cloud strategies.
AWS NVIDIA Shortages Demonstrate Need for Custom Silicon
Discover how ongoing NVIDIA shortages are driving the need for custom silicon in cloud computing. Explore the benefits and future implications of proprietary chips from AWS, Microsoft, Alibaba, and Google in a rapidly evolving market.
Forecast: Global Bluetooth Headset Sales, Installed Base and Revenue to 2029
Forecast: Global Bluetooth Headset Sales, Installed Base and Revenue to 2029 Share This Post Bluetooth headset revenues will grow slightly, driven by TWS headsets but also banded headsets. In 2022 growth slowed due to the economic downturn, but the
Forecast: Global TWS & Banded Headset 88 Country Forecasts to 2029
Bluetooth headsets have become must-have products for many smartphone owners as vendors remove the 3.5 mm plug from their smartphones. China is the biggest market for True Wireless Stereo (TWS) and banded headsets, followed by the United Sates and India.
3D NAND – Samsung 133L (V6’) Comparison
3D NAND – Samsung 133L (V6’) Comparison Share This Post This report provides an update on Samsung's channel architecture evolution with their introduction of the 4LPE technology used in the Samsung Exynos 2200 application processor. Samsung 133L (V6'
Insight: Tablet Market Forecast – Recovery Speeds Up in Q1 2024, Boosting Growth Forecast in 2024
The basic slate is still a favorite device for casual, lean-back activities such as watching videos, gaming, and social media; detachable 2-in-1s have evolved into high performance PC replacements as versatility and mobility serves enterprise demand, prosumer demand, and now broader appeal to consumers with affordable, powerful Android and iPadOS options.
Samsung Channel Architecture Evolution 2024
This report provides an update on Samsung's channel architecture evolution with their introduction of the 4LPE technology used in the Samsung Exynos 2200 application processor.
Insight: Q1 2024 Smart TV Results – Anticipated Return to Growth is Starting Slowly
Insight: Q1 2024 Smart TV Results – Anticipated Return to Growth is Starting Slowly Share This Post Smart TV shipments grew 2.7% year-on-year (YoY) in the first quarter of 2024, reaching near 43 million units. The return to growth is weaker than
Insight: Q1 2024 Smart TV Results – A Slow Start to an Anticipated Return to Growth
Smart TV shipments grew 2.7% year-on-year (YoY) in the first quarter of 2024, reaching near 43 million units.
Sony A9 III Digital Camera Sensor (IMX810AQL) Device Essentials Folder
Sony A9 III Digital Camera Sensor (IMX810AQL) Device Essentials Folder Share This Post The Sony IMX810 (IMX810AQL), Full Frame, 24.6 MP, 5.9 µm Pixel Pitch, Voltage Domain Global Shutter Stacked Back-Illuminated CMOS Image Sensor was extracted from
Automotive Semiconductor Demand Outlook 2022 to 2031
lowing demand in the electric vehicle sector was a major factor in the market swing towards oversupply in the final quarter of 2023 which resulted in demand for semiconductors no longer being front-loaded and semiconductor suppliers facing pressure from customers to implement price reduction regimes.
Webinar - Navigating the Semiconductor Landscape: Market Projections and Geopolitical Impacts through 2029
View our webinar, "Navigating the Semiconductor Landscape: Market Projections and Geopolitical Impacts through 2029," to explore market trends and challenges in the recovering semiconductor industry. Discover insights on AI-driven growth and sector-specific demand shifts.
DRAM Memory Technology Roadmap Update for Q2 2024
Discover the latest advancements in DRAM technology as of Q2 2024, including updates on EUV lithography, HKMG processes, and emerging 3D DRAM developments from industry leaders like Samsung, SK hynix, and Micron.
Memory Technology Roadmap Update: Q2 2024 - Focus on 3D NAND
Discover the latest advancements in 3D NAND technology with our Q2 2024 Memory Technology Roadmap update. Learn about Samsung, KIOXIA, Micron, SK hynix, and YMTC's newest innovations and upcoming products.
Chip Observer: May 2024
Discover the latest in AI and tech with Chip Observer May 2024. Highlights include NVIDIA's Blackwell chip, Intel's Gaudi 3, Apple's M4, new AI tools, and significant geopolitical and funding updates.
Sony extends vendor share lead to 62% as the market enters a mid-cycle dip
The global game console market enjoyed a strong rebound in 2023, growing by more than 10% year-on-year (YoY) to 45 million units. Improvements in the supply chain, which had hampered vendors in 2022, helped Sony to meet the pent-up demand.
Insight: Q1 2024 Game Console Results - Sony Captures Record 62% Share as the Market Enters a Mid-Cycle Dip
The global game console market enjoyed a strong rebound in 2023, growing by more than 10% year-on-year (YoY) to 45 million units. Improvements in the supply chain, which had hampered vendors in 2022, helped Sony to meet the pent-up demand.
Insight: The Notebook PC Market is Expected to Grow 7% in 2024
The COVID era, which defined the years between 2020 and 2023, had a significant impact on the notebook PC market which will continue to resonate through the end of the forecast period of 2029.
India Smartphone Market Share by Channel by Vendor: Q1 2024
India Smartphone market grew by 10% annually in Q1 2024. Offline channels held an upper hand over online in the quarter. ECommerce retailers were the largest channel sub segment.
Tracker: Global Cellular Smartwatch Vendor Market Share: 2024 Q1
Global cellular smartwatch shipments fell by 7% YoY in the first quarter of 2024, with cellular penetration also falling slightly during the quarter as consumers reign in discretionary spending amid macroeconomic weakness and geopolitical uncertainty.
Apple Captured Half of the $100B Smartphone Industry’s Revenues in Q1 2024
A recent report by TechInsights smartphone research team breaks down the distribution of smartphone wholesale ASP, revenue and operating profit by vendor.
HiSilicon Kirin 9000s (SMIC 7nm, N+2) Process Flow (Full) Analysis
HiSilicon Kirin 9000s (SMIC 7nm, N+2) Process Flow (Full) Analysis Share This Post This is a process flow full report that provides a Synopsys 3D emulation analysis of the process flow and integration used in the manufacture of the HiSilicon 9000s
Feature Phone Sales Forecast for 88 Countries : 2007 to 2029
TechInsights continues to expect that global smartphone sales will rebound at 3% YoY in 2024. However, we now anticipate a decline in smartphone sales in North America and Western Europe due to reduced consumer disposable income given prolonged inflation.
Global Smartphone Shipments Forecast by Vendor by Region by Quarter
TechInsights has bumped up smartphone forecast numbers in 2024 due to better-than-expected Q1 performance and improved outlook in emering markets, which will compensate the mixed outlook in North America and Western Europe. Global smartphone and handset shipments are expected to grow 4% and 2% year-over-year (YoY) respectively in 2024.
GigaDevice GDP1BFLM-CB CXMT G3 2Gb DDR3L SDRAM Advanced Memory Essentials
Insight on the structure and materials used in the manufacture of the GigaDevice HUANGSHAN4G G3 2 Gb DDR3L SDRAM die.
May McClean Report 2024
The May update to The McClean Report adds to the news from the April update on recently awarded CHIPS Act funding.
Market Data: Connected TV Devices
Timely tactical data on connected TV device market competition and long-term market outlooks is analysed in the following reports.
Insight: Tablet Market Share Q1 2024 – Market Approaches Net Growth Despite Slumping Apple Results
Led by strong results from Android vendors and resurgent Chinese brands in their domestic market, global tablet market shipments contracted only 3% in Q1 2024 compared to the year-ago quarter.
ODM sales soar as hyperscalers and cloud providers go direct
TechInsights' 2023 server market analysis shows that the world spent $120 billion on over 13.5M servers in 2023. Original design manufacturers (ODMs) now represent 40% of direct server sales.
Complimentary – ODM sales soar as hyperscalers and cloud providers go direct
TechInsights' 2023 server market analysis shows that the world spent $120 billion on over 13.5M servers in 2023. Original design manufacturers (ODMs) now represent 40% of direct server sales.
AWS NVIDIA shortages demonstrate need for custom silicon
AWS NVIDIA shortages demonstrate need for custom silicon Share This Post Our research shows that even Amazon Web Services can’t get access to the latest NVIDIA technology. For a week, TechInsights probed AWS to see what accelerators were available in
Complimentary – AWS NVIDIA shortages demonstrate need for custom silicon
Our research shows that even Amazon Web Services can’t get access to the latest NVIDIA technology. For a week, TechInsights probed AWS to see what accelerators were available in what regions.
Global Smartphone Foldable Display Forecasts to 2029
The display is the main technology that defines usability and perceived quality of a smartphone. Foldable displays are the latest new display technology that has emerged for smartphones.
TaiXin Semiconductor TXW8301 Wi-Fi HaLow SoC Floorplan Analysis
The TXW8301 is a Wi-Fi HaLow system on chip (SoC). Wi-Fi HaLow is a long-range implementation of Wi-Fi technology based in the 750-950 MHz spectrum with low power and long range, introduced by WiFi Alliance and IEEE.
Baseband Market Share Model Q1 2024: Optimism Returns as 5G Poised to Overtake LTE
The global cellular baseband processor market grew 5 percent year-on-year (volume shipments) in Q1 2024 as the industry continues to recover from the disruptive inventory adjustments that plagued the industry in 2023.
Global Bluetooth Headset Sales, Installed Based and Revenue Forecast to 2028
Bluetooth headset revenues will grow slightly, driven by TWS headsets but also banded headsets. In 2022 growth slowed due to the economic downturn, but the BT HS market remains a growing industry.
Report Overview: Resideo Buys Snap One
The consolidation of the smart home market was impacted by Resideo’s recent acquisition of Snap One in April 2024. Resideo’s ADI Global Distribution and Snap one have combined forces to bring the power of choice to professional installers and integrators.
AMD Ryzen 9 7950X3D Advanced CMOS Process Analysis
This report provides an analysis of the structure and materials used in the manufacture of the of the AMD Ryzen 9 7950X3D CCD (compute chiplet), fabricated using TSMC’s N5 HPC finFET process.
Micron Y5BP D1β 12 Gb LPDDR5X DRAM Memory Floorplan Analysis
Analysis of the floorplan design used in the Micron Technology MT62F1536M64D8ZA-023 (Y5BP Die) D1β 12 Gb LPDDR5X and includes an executive summary and supporting image sets optical, X-ray, SEM cross sectional, and SEM bevel imaging sets.
SEC Court Challenge Sidetracks Emissions Reporting Requirements
Unveiling a full picture of a semiconductor's carbon footprint requires a deep dive into the supply chain.
China's Semiconductor Production Capacity to Grow by 40% in Five Years
Gain insights into China's semiconductor industry with TechInsights, foreseeing a 40% capacity growth over five years, driven by equipment purchases and strategic investments, shaping global markets and trade policies.
Deep Dive Teardown of the Amazon Echo Show 8 3rd Gen R855D6 Smart Display
The design wins for the Amazon Echo Show 8 3rd Gen is divided between different manufacturers. The application processor comes from Amlogic while WiFi Front-end comes from Mediatek. As for the memory, SK Hynix provided 2 GB LPDDR4 SDRAM and Samsung provided 8 GB MLC NAND flash.
Advanced TSMC 22ULL Embedded RRAM Chip Unveiled
Discover the advanced TSMC 22ULL embedded Resistive RAM (eRRAM) chip featured in Nordic Semiconductor's latest nRF54L SoC devices.
A Tale of Two Foundries (TSMC and HLMC) and One Design House (HiSilicon)
Explore HiSilicon's Hi1105-GFCV100 module and its manufacturing journey between TSMC and HLMC foundries, highlighting the design consistency and adaptability in the semiconductor industry amidst global chip shortages.
Insight: Computex Preview – Microsoft Debuts Copilot+ PCs Powered by Qualcomm
In a press-only event the day before Microsoft Build, Microsoft launched a new category of Windows PCs designed for AI called Copilot+ PCs.
Generative AI in the Telecom Industry
Explore how Generative AI is revolutionizing the telecom industry by automating tasks, optimizing networks, and enhancing customer experiences.
Forecast: Advanced Driver Assistance Systems 2022-31 - Q2 2024 - Powertrain, Body, Chassis & Safety
This Advanced Driver Assistance System (ADAS) market forecast shows strong growth, driven by legislation, NCAP regimes and a push towards more automated driving. Key changes in this update include a boost to future discrete ADAS demand due to slower adoption of centralized architectures than was previously expected. The market for ADAS technologies is thus now expected to grow at a CAAGR of 13.4% over 2023 to 2028, with content-per-vehicle increases boosting demand significantly more than the growth in vehicle production.
OmniVision OV50H Advanced Floorplan Analysis
An advanced floorplan analysis of the image signal processor (ISP) die from the OmniVision OV50H ISP, 1/1.3”, 50 MP, 1.2 µm Pixel Pitch Stacked Back-Illuminated PureCel®Plus-S CMOS Image Sensor with Quad Phase Detection (QPD) Autofocus Technology was extracted from the Xiaomi 14 Pro rear camera.
Insight: Q1 2024 Notebook PC Results – PC Market Continued Path to Recovery, Grew 7%
Notebook PC shipments grew 7% in the first quarter of 2024 versus the same period a year ago and totaled 46.1 million units. This is the second quarter the PC market has grown after falling for eight consecutive quarters.Thank you for your question
Thank you for your question for our webinar. We are thrilled to have you on board for our upcoming event.2024 Beijing Auto Show: Key Themes
The 2024 Beijing Auto Show was held between April 25 and May 4th, 2024. The 10-day event attracted more than 1,500 exhibitors including OEMs, automotive suppliers, and automotive technology companies. Centralized computing platforms, generative AI, and international business expansion were key trends at the show.Canon 3.2 MP Stacked SPAD Image Sensor Device Essentials Folder
The Canon LBC010 features 3.2 MP resolution, 6.39 μm pixel pitch*, stacked, back-illuminated (BI) direct-time of flight (d-ToF) single photon avalanche diode (SPAD) LiDAR sensor extracted from Canon MS-500 camera. This Canon LBC010 is introduced by an ultra-small SPAD sensor capable of capturing the world's highest resolution of 3.2 MP with high sensitivity in low-light environments.Insight: Matter 1.3 Delivers a Boost for Smart Home Interoperability
The importance of supporting the Matter ecosystem for consumer electronics component suppliers continues to grow with the Connectivity Standards Alliance (CSA) releasing version 1.3 of the Matter standard and software development kit (SDK) on May 8, 2024. Despite the slow rollout of Matter enabled products by manufacturers so far, the addressable market for Matter-enablement continues to expand.Tracker: USA: Smartphone Shipments & Marketshare by Model: Q1 2024
The United States is the world's most influential smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-50 best-selling smartphone models in the United States in the first quarter of 2024. Besides popular Apple and Samsung flagships, which brands and models have made their way into the bestsellers and what is the secret behind their success?Denso BCD-on-SOI Toyota Prius Battery Control Module (2023) PMIC Essentials
Analyzing the Denso SV061 power management integrated circuit (PMIC) from the Toyota Prius Mk5 battery control unit (BCU), it reveals collaborative efforts between Denso and Toyota to advance hybrid technology.Report Overview: 5G mmWave Enters the Global Mainstream
mmWave 5G bands have encountered some growing pains on a global scale since initial launch due to a litany of factors. However, recent market trends have indicated that future deployments are likely to gain traction in countries outside the United States and Japan.Insight: UHD TV Forecast Q1 2024 - Samsung Focuses on Upscaling in Absence of Native 8K Content
While large screen TVs are all the rage, 8K is not, with 409K units shipping globally in 2023. While global 8K shipments will continue to grow year-over-year it is not until the end of the decade that we will start to see an adequate number of 8K TVs shipping (20.7 million in 2029) that they will begin building a sufficient installed base to be relevant in the marketplace. This Insight examines what TV brands, like Samsung, are doing to help boost 8K TV sales.Memory Pricing Report – May 2024
This monthly update delves into the dynamic and volatile pricing landscape of mainstream DRAM and NAND memory, offering an examination of pricing trends over the past three months and projecting developments for the upcoming year.Infineon BTS7008 Automotive Smart High-Side Power Switch PMIC Essentials
Within the (PROtected FET) PROFETTM+2 12 V family, Infineon's BTS7008-2EPA is examined alongside the utilization of Infineon’s SMART7 high-side switches technology.
Google is the third-largest designer of data center processors as of 2023… without selling a single chip
Discover how Google, without ever selling a single chip, has risen to become the third-largest designer of data center processors by pioneering AI accelerators like TPUs.Executive Summary: Generative AI for Telcos drives Productivity in 2024
Generative AI brings new opportunities for significantly enhanced automation of customer engagement, operations and software development as well as new revenues. However, while Gen. AI will enable new services in the long run, in the near term it can dramatically lower costs and improve productivity leading directly to better profit margins for telecoms operators.Tracker: Global Bluetooth TWS Shipments, Revenues and ASP by Price Tier by Vendor: Q1 2024
Premium-tier remains as the most valuable TWS segment but Entry-tier rises to the top in shipments. Apple is the undisputed leader in total TWS shipments having most of its shipments in the premium segment. This report looks at the shipment volumes as well as ASPs and revenues of the top-22 vendors in detail for 2016-Q1 2024.Tracker: Global Bluetooth TWS Shipments by Region: Q1 2024
Apple remains the shipments leader in Bluetooth True Wireless Stereo (TWS) headsets. AirPod Shipments declined -6% YoY in Q1 2024. Overall TWS headsets growth has slowed down YoY but India is still driving growth. This report looks at the shipment volumes of the top-22 vendors in detail for 2016-Q1 2024. The report offers valuable insights to all designers, vendors, operators, distributors, etc working with TWS headsets.Q1 2024: Vivo: Slipped out of the Top Five Smartphone Rankings
Vivo’s smartphone volumes and revenue declined to record levels in Q1 2024. It is now out of the top five global smartphone rankings in the quarter. Its financials including ASP’s on a slow downward spiral need a revival. The traditional challenges of geo-diversification, flagship success and competition having an upper hand over it in smartphone segments like 5G, foldables are yet to be addressed.Canada Smartphone Vendor Marketshare by Operator: Q1 2024
Smartphone shipments in Canada grew in Q1 2024 compared to the year-ago quarter, with just one of the five leading vendors seeing shipments decline year-on-year. Canada is a mature market in which replacement sales make up most smartphone volumes, limiting growth. Nonetheless, Canadian consumers are once again upgrading their smartphones. The big three vendors in the Canadian market this quarter are Apple, Samsung, and Google.Global Smartphone Monthly Shipments (Sell In), Sales (Sell Through) and Inventory Variance by Vendor: May 2024
TechInsights tracked global smartphone shipments (sell in) increased by 6% YoY, and sales (sell through) went up by 3% YoY in April 2024. This rebound in the smartphone industry is attributed to normalized inventory levels, resumed product launches from vendors, and increased demand from end consumers in emerging markets.Prophesee GenX320 Device Essentials Plus
The Prophesee GenX320ES is a monochrome, 1/5-inch optical format, 320 × 320 pixels resolution, stacked back-illuminated (BI) event-based Metavision® image sensor (CIS) for embedded vision and many power-sensitive applications. The Prophesee GenX320ES features non-shared pixel architecture using a gain-boosted log amplifier (LOGA) and pixel-level interconnect for a dynamic vision sensor (DVS).Deep Dive Teardown of the Huawei 192 Line LiDAR L306 LiDAR Sensor
The L306 debuted as the front LiDAR scanner in the AITO M9 – an all-electric luxury SUV launched under the joint brand of Seres Group and Huawei. Huawei’s new LiDAR is a hybrid solid state with a four-sided rotating prism type. It has a 120° horizontal by 20° vertical field of view with a scan rate of up to 20 Hz. Its maximum detection range is 250 m, or 180 m for objects with 10% reflectivity.Deep Dive Teardown of the Crucial T705 CT2000T705SSD5 SSD
PHISON Electronics Corp. provided PS5026-E26 PCIe Gen5.0x4 NVMe 2.0 SSD Controller, manufactured using 12 nm process technology, ensuring efficient data handling, and PS6126-45 Power Management, which optimizes power consumption for enhanced efficiency. Texas Instruments TMP108 Digital Temperature Sensor is included in SSDs to track operating temperatures and guarantees consistent performance in various scenarios.MediaTek Company Profile
This report contains a profile of MediaTek, a prominent fabless semiconductor vendor for the mobile industry. MediaTek currently has the market leadership in mobile application processors for the Android smartphone ecosystem but trails arch rival Qualcomm as in volume of cellular basebands sold worldwide. In addition to mobile semiconductors, MediaTek produces chipsets for connectivity, networking, smart devices and beginning to venture into automotive.Tracker: Lead Times for Automotive Semiconductors Q1 2024
This file contains lead times for automotive semiconductor components. Automotive semiconductor lead times stabilized in Q1 2024 reaching an average of 14.3 weeks. This was only a half week lower than Q4 2023 average of 14.9 weeks. Most component lead times are at pre-pandemic levels at under 17 weeks, except embedded processor and discrete lead times are above 20 weeks.Q1 2024: Realme: Strong Rebound, Headwinds Remain in India
Realme smartphone shipments grew a strong 17% YoY in Q1 2024. The macroeconomic scenario improved compared to Q1 2023 plus new product launches coupled with weak Q1 2023 led to the annual growth.Analysis: Automotive Passive Semiconductor Component Lead Times Fell to Pre-pandemic Levels in Q1 2024
Automotive passive semiconductor lead times fell to the lowest level in seven quarters in Q1 2024 reaching an average of 16 weeks. Passive semiconductors as well as most other automotive components have average lead times at pre-pandemic levels except for a few exceptions. We expect lead times to remain at similar levels throughout 2024.Analysis: Automotive Semiconductor Lead Times Stabilized in Q1 2024
Analysis of Q1 2024 automotive semiconductor lead times data. Automotive semiconductor component lead times continued falling for the sixth consecutive quarter in Q1 2024 but at a much slower rate. Most semiconductor component lead times are at pre-pandemic levels. We expect lead times to remain at similar levels throughout 2024.Global Handset Vendor Marketshare for 15 Countries: Q1 2024
Global handset shipments rebounded for two quarters in a row, after nine consecutive quarters of decline, by 7% YoY in Q1 2024, signalling that market shows a clearer sign of stabilization. Several Chinese brands including Tecno, Honor, Xiaomi and Huawei drove the shipments growth.Google I/O 2024: The Automotive AI Story Is More than Meets the Eye
Artificial Intelligence (AI) took the center stage at Google's 2024 flagship developer event, Google I/O. Although automotive-specific announcements didn’t seem to specifically highlight the company’s Gemini AI initiatives, a closer look reveals some major developments likely happening behind-the-scenes, portending a major move for Gemini in the automotive space. Read TechInsights' analysis of the announcements at the event, and their implications for automotive.Deep Dive Teardown of the Sony PlayStation Portal CFI-Y1016 Streaming Game Controller
Sony claims that the Sony PlayStation Portal CFI-Y1016 has a 4300 mAh battery which can last between seven to nine hours during active use. Compared to the Valve Steam Deck 1010, Valve claims that their device with a 5200 mAh battery can last up to eight hours during active use. Both compared devices use 60 Hz TFT displays.Deep Dive Teardown of the Quectel FGH100M Wireless Module
The FGH100M comes in a compact Land Grid Array (LGA) package measuring 13.0 x 13.0 mm, ensuring easy integration into a variety of IoT devices. It has a one pin for connecting a WiFi antenna, allowing for simple antenna connection.
New iPad Pro Models: One M4 to Rule them All
Discover the latest iPad Pro models - TechInsights dissects the internals of two variants, comparing processors to discern if they share the same M4 chip or if differences lie beneath the surface.VALUE SHARE: Global Smartphone Revenue, ASP and Profit by Vendor by Price Tier: Q1 2024
Global smartphone industry wholesale revenue grew 1% YoY thanks to the higher smartphone shipments which increased 10% YoY in Q1 2024, while smartphone shipment ASP went down 8% YoY. Apple maintained leadership but lost revenue share annually. Samsung followed with the improved revenue share from last year. Xiaomi gained revenue share and maintained the third position followed by Huawei.
Webinar: Made in China? A Deep Dive into the Huawei Pura 70 Ultra
Join TechInsights experts as we explore the impact of the ever-increasing Huawei smartphone range and its implication on the industry and the semiconductor sector.
The Best Semiconductor Equipment Suppliers of 2024
Explore the top semiconductor equipment suppliers of 2024 as honored by THE BEST Awards.China Provides Several Updates to their NEV Policies
The China NEV Policy Update document lists several policies related to the development and regulation of the automotive and transportation sectors in China, with a focus on promoting electric vehicles (EVs), enhancing industry standards, and fostering innovation.Huawei Pura 70 Pro Has More Made-in-China Image Sensors than Pura 70 Ultra
This report provides a look into Huawei Pura 70 Pro image sensors. Out of four image sensors, three are Omnivision’s and two of them are made-in-China.
Apple iPad Pro: Unboxing
Excited to unbox the new Apple iPad Pro? Dive deeper into the tech behind the device with our FREE unboxing video on the TechInsights Platform.Navigation and Location Based Services – Growth Story Shifts to Services
In TechInsights’ Navigation and Location-Based Services report, the growth story in the market shifts to software and services as more than 50% of new cars globally are shipping with embedded navigation systems. Cloud-based navigation sees an increase in popularity, with embedded/hybrid systems continuing to maintain their dominance in unit shipments through 2031.Automotive Semiconductor TAM by Powertrain Type - Q2 2024
This market forecast report shows that battery electric vehicles are expected to be the fastest growing vehicle type for automotive semiconductor demand, with a CAAGR of 30% expected over 2023 to 2028. It details how automotive semiconductor demand is expected to split out by each major powertrain type (Conventional, Mild Hybrid, Full Hybrid, Plug-In Hybrid, Battery Electric Vehicle and Fuel Cell Electric Vehicle) and application domain (ADAS, Body, Chassis, Conv. Engine Control, Conv. Safety, Driver Info, HEV/EV, Transmission, Zonal) of the end vehicle.Forecast: Automotive Semiconductor TAM by Sector - Q2 2024
This market forecast report shows that Economy Vehicles are now the fastest growing vehicle sector for automotive semiconductor demand, with a dollar CAAGR of 14.5% expected over 2023 to 2028. It details how automotive semiconductor demand is expected to split out by vehicle sector (Low-Cost, Economy, Non-Premium, Premium and Super-Premium) and application domain (ADAS, Body, Chassis, Conv. Engine Control, Conv. Safety, Driver Info, HEV/EV, Transmission, Zonal) of the end vehicle.Automotive Semiconductor TAM by OEM Group - Q2 2024
This market forecast report shows that Jianghuai Automotive is expected to be the fastest growing vehicle OEM for automotive semiconductor demand, with a dollar CAAGR of 27% expected over 2023 to 2028. BYD will gain the most share of automotive semiconductor demand.Component Price Landscape (CPL) Biweekly Update - Circuit Protection and Connectors
Biweekly update of the Component Price Landscape.Qualcomm to breathe life into the PC industry?
Qualcomm’s Snapdragon X family is the most promising attempt to break the PC x86 monopoly and ignite new competition in the market. The Snapdragon X Elite is a mighty piece of silicon, and our hands-on experience and company benchmarks tend to confirm this impression. Qualcomm is reinvesting in the PC market with great ambitions and preparation. Will this be a revolution or just the beginning of a transition?
THE 10 BEST Awards
Discover the top-performing semiconductor equipment suppliers honored by the 10 BEST Awards.Global Smartwatch ASP & Revenue Forecast for 88 Countries: 2012 to 2029
Global smartwatch revenues will rise by 3% in 2024, rebounding after a 2% dip in 2023. Annual revenue growth is expected to peak at 5% in 2025, remain above 4% through 2027, after which it would slow down, remaining above 2% in 2029. Even though there was a 2% annual ASP increase in 2023, ASPs are expected to decline for the entire forecast period from 2024 through 2029.Deep Dive Teardown of the Realme GT 5 Pro (RMX3888)
The Realme GT5 Pro opted for BOE's solution for its display. It offers a resolution of 2780x1264 in a 6.78" diagonal package. The manufacturer also claims that it achieves a peak brightness of 4500 nits, which is over double the brightness of the Samsung S23 Ultra or Apple 15 Pro Max. We were unable to verify this performance. However, given the nature of OLED displays, it's unlikely that the device will maintain the peak brightness level for extended periods.Tracker: Global Foldable Display Smartphone Vendor Market Share by Design Type: Q1 2024
Huawei was the leader in foldable-display smartphone shipments in Q1 2024, followed by Honor and Samsung. Shipments grew YoY, but Samsung declined heavily. Huawei, Honor and Motorola increased share. Booklet foldables commanded the largest share of the foldable designs and saw the strongest YoY growth due Chinese fondness to booklet type foldables.Tracker: Global Foldable Display Smartphone Vendor Market Share by Region: Q1 2024
Huawei was the leader in foldable-display smartphone shipments in Q1 2024, followed by Honor and Samsung. Shipments grew YoY, but Samsung declined heavily. Huawei, Honor and Motorola increased share. Asia-Pacific was a leader in foldable-display smartphone shipments in Q1 2024. Motorola continues great performance and leads growth.Generative AI for Telcos drives Productivity in 2024
Generative AI brings new opportunities for significantly enhanced automation of customer engagement, operations and software development as well as new revenues. However, while Gen. AI will enable new services in the long run, in the near term it can dramatically lower costs and improve productivity leading directly to better profit margins for telecoms operators.Qualcomm HG11-34443-2 (QTM565) FR2 Tx/Rx Front End Die Floorplan Analysis
The Qualcomm HG11-34443-2 was found inside Qualcomm QTM565-201. The QTM565-201 was extracted from the Samsung Galaxy S24 Ultra smartphone. The Qualcomm QTM565-201 mmWave AiP module contains the RF path from the antenna to the RF Transceiver. It is Qualcomm's 5th generation mmWave solution for supporting global 5G mmWave bands and succeeds the QTM545 mmWave AiP module. The Qualcomm HG11-34443-2 die is the FR2 transceiver from the QTM565-201 and is the target for this analysis.West Europe xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the West Europe outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Thailand xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Thailand outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms. Deployment of battery electric vehicles remains at an early stage with volumes still expected to be lower than for the combined hybrid platform volumes.South Korea xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the South Korea outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Russia xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Russia outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage and is further impacted by international OEMs pulling out of the market. Deployment of battery electric vehicles remains at an limited, though the market will be larger than the hybrid market by the end of the forecast period.ROW xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the rest of world (ROW) outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms. Deployment of battery electric vehicles remains at an early stage with volumes still expected to be lower than for the combined hybrid platforms.NAFTA xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the NAFTA outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Japan xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Japan outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification is not slowing down with volume demand continuing to grow.India xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the India outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.Global xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - Powertrain, Body, Chassis & Safety - May 2024
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand. The uncertainties and challenges posed by a slowing domestic and global economy will slow the momentum behind battery electric vehicles in the short term.Global xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Global outlook for xEV systems and associated semiconductor and sensor demand. The uncertainties and challenges posed by a slowing domestic and global economy will slow the momentum behind battery electric vehicles in the short term. In the mid- to long-term, the push towards electrification and specifically battery electric vehicles will be maintained with volume demand continuing to grow.East Europe xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the East Europe outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles is not slowing down with volume demand continuing to grow in the long term.China xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the China outlook for xEV systems and associated semiconductor and sensor demand. Despite the uncertainties and challenges posed by the Ukraine conflict, semiconductor shortages, and a slowing domestic and global economy, the push towards electrification and battery electric vehicles in particular is not slowing down with volume demand continuing to grow.Brazil xEV System, Semiconductor and Sensor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data model presents a view of the Brazil outlook for xEV systems and associated semiconductor and sensor demand. The market remains at an early stage with a primary emphasis towards hybrid platforms. Deployment of battery electric vehicles remains at an early stage with combined volumes still expected to be lower than for hybrid platforms.OECD Mobile Broadband Price Benchmarking Q1 2024
The Q1 2024 update of the OECD Mobile Broadband Price Benchmarking service is now available for download and includes over 1,100 mobile broadband tariff plans from more than 100 providers across 38 OECD countries. The OECD Mobile Broadband Price Benchmarking Service uses the OECD 2017 baskets. There is no distinction between device types, so all baskets can be used with any device. Historical pricing for the OECD countries over several years is also available.USA Smartphone Vendor Marketshare by Operator: Q1 2024
Smartphone shipments in the United States were down year-on-year in Q1 2024. Apple was number one in hardware shipments and Samsung led in the Android space. Verizon was the market smartphone shipment leader. This report tracks smartphone shipments, vendor market share, and OS market share for the major brands and major OS platforms in the United States across 3 top operators and the total market on a quarterly basis from Q1 2011 to Q1 2024. Operators covered include Verizon Wireless, AT&T and T-Mobile.USA Handset Vendor Marketshare by Operator: Q1 2024
Handset shipments in the United States declined year-on-year in Q1 2024. Apple led across major carriers, followed by Samsung, which led Android, and then by Motorola, and TCL-Alcatel. Verizon Wireless was the standout operator. This extensive report tracks mobile handset shipments and vendor market share for major vendors at the "Big 3" operators in the United States on a quarterly basis from Q1 2009 to Q1 2024.Apple iPhone Shipments by Model: Q2 2007 to Q1 2024
Apple global iPhone shipment decreased 11% annually during Q1 2024, underperforming overmarket which went up 10% YoY. iPhone 15 Pro Max topped the chart this quarter, followed by iPhone 15 Pro and 15. iPhone 14 Pro Max and iPhone 15 Plus ranked the top 5 list too. This report details global shipments for every iPhone model since its launch through Q1 2024, from the iPhone 2G to the iPhone 15.Telecoms Operators Pioneering Use Cases for Generative AI
Internally operators have already begun to leverage Gen. AI to automate not only administrative tasks, but also new use cases for network optimization and problem resolution with ‘domain specific’ LLMs (Large Language Model) for their own use. And externally, Gen AI. Use cases for customer self-care, enhanced service operations and secure access authentication are already reducing telecoms operating costs.Deep Dive Teardown of the HP Spectre X360 16-F2097NR Laptop
HP continues its design tradition of using an aluminum enclosure fitted to all internal components. Samsung provides a 16-inch 3840x2400 pixel OLED Display with a multitouch Touchscreen. The brightness of that display is 400 nits. Dimension is 351.80 x 233.4 mm with a weight of 334.4 grams. The stylus is charged by USB Type-C. The total weight is 14.5g. The stylus is a part of the laptop and is included in the box.Ultra High Definition TV Displays: Global Market Forecast
This report forecasts global demand for Ultra High Definition 4K and 8K TVs across 6 regions and 88 countries from 2014 to 2029. The report provides historical and forecast data for total Ultra High Definition TVs split by 4K and 8K.Public Cloud Demand Forecast Q2 2024: CPUs, Accelerators, and Memory
This workbook provides a forecast on public cloud demand in terms of hours of consumption. It also translates hourly consumption into the equivalent number of processors and accelerators sold by cloud providers, and the minimum shipments required by cloud providers to sustain demand.Intel Core Meteor Lake (Intel4) I/O Die Digital Floorplan Analysis
Intel Core Meteor Lake (Intel4) I/O Die Digital Floorplan Analysis Share This Post The SRMZ1 is a 3D packaging assembly comprising a side-by-side arrangement of four dies, including an input/output (I/O) die with die makings 8PNVC, mounted on aTSMC Gate Architecture Evolution 2024
This report is based on the reverse engineering results developed by TechInsights and coupled with the knowledge and expertise of TechInsights’ subject matter experts. It includes publicly available information and discussed to help better understand the process and focuses only on devices fabricated by TSMC.TSMC 28 nm eFlash (Renesas RH850/U2A8) Process Flow Analysis
Analysis of the process flow and integration used in the manufacture of the Renesas automotive microcontroller R7F702301BEBBA-C_BC6 comprising a Renesas R7F702301B die, built in TSMC 28 nm embedded flash memory technology. The spreadsheet lists the hundreds of process flow steps required in its manufacture.GPU Cloud Providers Step-Up Where the Hyperscalers Fall Down
The surge in enterprise cloud adoption and the growing demand for GPU-accelerated computing has presented a golden opportunity for smaller, GPU-focused cloud providers. The goal of these so-called GPU Cloud providers isn’t to replace cloud hyperscalers; instead, they aim to complement them within enterprises’ multi-cloud strategies.How could SMIC achieve 5 nm?
This is a short summary highlighting the path that SMIC might take to achieve 5 nm technology.Deep Dive Teardown of the Iridium Satellite LLC 9603N Satellite Module
The Iridium Satellite LLC 9603N is an IoT Module that supports two-way satellite communication connectivity. The Iridium 9603 delivers Iridium Short Burst Data in an extremely small form factor. Iridium Short Burst Data (SBD) - simple, efficient packet-based service for frequent short data transmissions between equipment and centralized host computer systems.TechInsights’ Storage Team Takes You Inside the Huawei Pura 70 Ultra Smartphone
TechInsights' teardown of the Huawei Pura 70 Ultra reveals the intricate details of this cutting-edge smartphone. Powered by the Kirin 9010 chipset, this device boasts impressive performance capabilities. Our analysis delves deep into the Kirin 9010, assessing its fabrication process and comparing its efficiency and performance to previous models.
TechInsights confirms NAND and DRAM providers in Huawei Pura 70 Ultra
Unveiling the hidden origins of NAND and DRAM components, explore Huawei's strides towards a fully Chinese smartphone amid geopolitical pressures.Unveiling the Top Semiconductor Equipment Suppliers of 2024
For the past 36 years TechInsights has formally evaluated semiconductor equipment companies based on feedback from our customers to recognize the very best in the industry, and we are thrilled to share the results next week! Stay tuned as we unveil the winners next week and subscribe to the TechInsights Newsletter for the latest updates.New York City Announces Robotaxi Permitting Plan
New York City has announced a new permitting program for autonomous vehicle (AV) testing on its roads with the requirement of the presence of a safety driver plus various licensing, self-certification, and data reporting obligations. This short form report discusses this new program and its implications for AV testing in New York City and the state of New York.Automotive Semiconductor Demand Forecast 2022 to 2031 - May 2024
This TechInsights data forecast provides the latest metrics identifying size and growth rate of the future semiconductor demand total across the Powertrain, Body, Chassis, Safety, and Driver Information application domains for the main light vehicle producing regions: North America, Japan, Europe, Russia, South Korea, China, India, Brazil, Thailand, and rest of the world.Report Overview: Telecom Equipment Market Update
TechInsights' Telecom Network Equipment Market Update provides the latest news and trends on several big-name players in the industry in 2024. The market experienced some regression in 2023 after multiple years of sustained steady growth, due in part to operators becoming more selective with how they choose to allocate resources for their initiatives.Report Overview: Cockpit Innovations; Streaming, Gaming, Audio
TechInsights' report on technological innovations in the automotive in-cabin cockpit delves into the newest exciting front passenger user experience display capabilities. In-car infotainment enhancements in video, audio, streaming and gaming content have revolutionized how car owners interact with their surroundings.Report Overview: Can OliverIQ Transform The Smart Home Market?
OliverIQ is changing the Smart Home-as-a-Service market. The platform is uniquely capable of supporting the vast majority of smart home devices while ensuring a pain-free user experience complimented by customer support resources that will ease the challenges of existing smart home launches.Value Share: Global Handset Vendor ASP and Revenue Share by Region: Q4 2023
Global Wholesale Handset industry revenues grew by 7% annually in Q4 2023. Apple. Samsung and Xiaomi were the top three vendors. Asia Pacific was the largest region both by value and volume in the quarter.From Slowdown to Surge: Smart Speakers Poised for Future Growth
As per TechInsights’ recently published report Smart Speaker and Smart Display Shipment and Installed Base Forecast for 88 Countries: 2014 to 2029, the smart speaker market will see a return to growth from 2025 as the economic climate improves and new markets are opened as major vendors expand their product availability to more countries.Analysis: Qualcomm's Automotive Momentum Continues with Q2 2024 Earnings
Qualcomm continues to increase its revenue in the automotive industry, with the company’s Q2 2024 automotive-specific revenue reaching USD 603 million for Q2 2024. This analysis discusses the company’s growth in the automotive semiconductor market and how its earnings compare to recent historic progress in this segment.Deep Dive Teardown of the Nothing Phone 2 A065 Smartphone
The Nothing Phone 2 A065 is Nothing’s second smartphone. It was released on July 17, 2023. The mobile runs the Nothing OS 2.0 (based on Android 13) operating system. This model (A065) is a Global model.Tracker: China Automotive Policy Database 2024 Q2
This database provides a panoramic view of policies in China market covering the topics of connected vehicle, 5G/V2X, AI, automotive chip, OTA, intelligent infrastructure, data security and cyber security. It illustrates each policy/standard’s name, implementation date, issuing body, scope, function/value, and the URL of original policy document. This database will be updated in Q2 of each year.Analysis: Digital Media Streamer Forecast Update
This analysis complements the recently published major global market forecast for Digital Media Streamers.Analysis: Smartphone Fingerprint Sensor Market Share Q4 2023
The global smartphone fingerprint sensor market recorded more than 10% revenue growth in Q4 2023. Qualcomm grew its share, taking the top spot followed by Goodix and Egistec in the quarter.Global Smartphone Vendor Marketshare for 15 Countries: Q1 2024
Global smartphone shipments jumped by 10% YoY in Q1 2024, signalling that emerging countries show more clear signs of stabilization. Several Chinese brands including Tecno, Honor, Xiaomi and Huawei drove the shipments growth.VENDOR SHARE: Global Handset Market by Technology: Q1 2024
Global annual handset shipments showed positive growth, for a second consecutive quarter, in Q1 2024. Global 5G smartphone shipments showed positive growth, as did 4G LTE, while 3G and 2G shrank. Apple captured the top spot in 5G while Samsung leads in overall global handset shipments.Q1 2024: Huawei: Escalated Resilience with Record-High Smartphone ASP
Driven by the comeback in 5G segment and eased supply constraints, Huawei’s global smartphone shipments increased 111% YoY to 11.8 million units and its average selling price (ASP) reached highest-ever point in Q1 2024. As a result, Huawei’s wholesale revenue tripled year-over-year.VENDOR SHARE: Global Handset Market by Region: Q1 2024
Annual global handset shipments continued to improve in Q1 2024. Despite economic pressure on consumers, war in Europe, and other disruptions consumers opened their wallets in the first quarter of 2024 to purchase new handsets.Baidu Kunlun1-T AI Processor with Samsung I-Cube 2.5D Package Technology Advanced Packaging Analysis
The Baidu Kunlun processor is China’s first domestically produced AI accelerator IC which features thousands of cores providing up to 260 trillion operations per second (TOPS) and 512 GB/s memory bandwidth to two second generation high bandwidth memory (HBM2) totaling 16GB of in package memory.MediaTek Dimensity 9300 (N4P) Transistor Characterization
This report presents key DC electrical characteristics for logic NMOS and PMOS transistors located in the CPU1 region of the MediaTek AHJ11236C die found inside the MediaTek MT6989W Dimensity 9300 application processor.Appendices – Automotive Electronics System Demand Forecast 2022 to 2031
This market forecast highlights the impact that vehicle architecture change and electrification are having on automotive electronics system demand. Key changes in this update include a substantial upgrade to the forecast model for new vehicle architectures, with High Performance Compute Platforms now included. This update also sees a slowing in the predicted growth for battery electric vehicles.
Chiplet Market Introduction: Computing Summary
Discover insights into the transformative chiplet market within the computing sector. Gain a nuanced understanding of evolving standards, ecosystem dynamics, and projected growth trajectories.Deep Dive Teardown of the ZTE Blade A73 5G 7160N Smartphone
The ZTE Blade A73 5G features a 90Hz, 6.52-inch IPS LCD display with a resolution of 720 x 1600 pixels. The phone is powered by Unisoc’s T760 chipset with an octa-core CPU. It comes with 4GB of RAM and 128GB of internal storage, expandable via microSDXC.Sony ISP Die IR Eye Tracking Camera from Apple Vision Pro Standard Floorplan Analysis
Sony's image signal processor (ISP) die from Sony's 1.8 MP, 1.5 μm pixel pitch, stacked back-illuminated CMOS image sensor (CIS) was extracted from the Apple Vision Pro Headset (A2117) IR eye tracking camera. The Apple Vision Pro Headset (A2117) IR eye tracking camera measures 8.8 mm ×5.8 mm ×5.0 mm thick. It contains a stacked imager comprising a CIS die and an ISP die.April McClean Report 2024
The April Update provides The McClean Report’s ranking of the top-50 semiconductor suppliers of 2023 by sales revenue, by growth rate, and by headquarters location. The top-10 semiconductor suppliers of 2023 are compared with the top-10 from 10, 20, and 30 years ago.Avago FH513-4KN4 Power Amplifier Die (AFEM-8234) RFIC Process Analysis
The FH513_4KN4 RF power amplifier die was extracted from the Avago AFEM-8234 MHB L-PAMiD RFFE module. This RFIC process analysis (RFP) was performed on samples removed from the Apple iPhone 15 Pro 5G smartphone (A2848) smartphone.Sensors Market Update CY2023
This presentation provides an overview of the Sensor Market and covers the market and vendor highlights for Smartphone Image Sensors, Smartphone Fingerprint Sensors and Automotive Image Sensors in 2023.Tracker: Global 5G Smartphone Vendor Market Share by Region: Q1 2024
Apple still barely holds peak position before Samsung. Samsung grew nearly even with Apple this quarter. Emerging markets grew fastest as developed markets have saturated. Top three vendors take nearly two thirds of the shipments. Western Europe was only region to see YoY decline.IDM and Foundry: Sales, Capex, and Capacity Highlights Report
This report contains highlights from the full data file. A sampling of Integrated Device Manufacturers (IDMs) and foundries important to the automotive industry shows wafer capacity expanded by 9% on average in 2023 after large capital expenditures for fabs since 2020.Tracker: Global Wearables Revenue and ASP by Vendor 2023 Q4
Global wearable revenues fell by 4% in Q4 2023 as macroeconomic weakness and geopolitical uncertainty caused lengthening replacement cycles and shrinking volumes for both smartwatches and fitness bands.
Apple Resets AI Race with the M4-powered iPad Pro
The M4 Chip in the Apple iPad Pro has come to market in record speed. Read how Apple resets AI Race and what we you expect as TechInsights digs deeper into the technical analysis.Main Data Tables - Automotive Electronics System Demand Forecast 2022 to 2031
This market forecast highlights the impact that vehicle architecture change and electrification are having on automotive electronics system demand. Key changes in this update include a substantial upgrade to the forecast model for new vehicle architectures, with High Performance Compute Platforms now included.Apple Watch Shipments by Model : Q4 2016 to Q1 2024
Apple Watch shipment volumes shrunk by 11% YoY in Q1 2024 largely due to a continued unfavorable YoY comparison - during the 2022 holiday season the Ultra was brand new to the lineup and the SE 2nd gen was launched. In the 2023 holiday season, the SE line was not updated, and while the Ultra was updated, it was no longer a brand-new product line and also has a longer replacement cycle being a higher priced device.Global Smartphone Top 100+ Microvendors Market Share: Q1 2024
A huge number of second-tier MICROVENDORS were playing a meaningful role in the global smartphone market. However, industry consolidation is underway and major players are taking more shares from small vendors recent years.Optical Fiber Communications (OFC) 2024: The Year of the AI Data Center
TechInsights previously published a short, complementary report on the main theme of the 2024 Optical Fiber Communications conference (OFC): the “iron triangle” of data rate, delay and power+thermal demands that Artificial intelligence (AI) distributed computing places on data center networking and interconnect.Analysis: Automotive Cockpit System Processors–Segment Continues to Grow
The market for processors for automotive cockpit systems continue to grow as semiconductor companies develop products for next-generation vehicles. The next-generation electronic control units (ECUs) in automotive cockpits, for example cockpit domain controller ECUs, integrate an increasing number of infotainment and safety features.IDM and Foundry Sales, Capacity, Capex
A sampling of Integrated Device Manufacturers (IDMs) and Foundries important to the automotive industry shows wafer capacity expanded by 9% on average in 2023 after large capital expenditures for fabs since 2020. Several IDMs increased fab capacities in 2023 well above 9% include Samsung, Texas Instruments, Rohm, Infineon, and Microchip.SK hynix Hi-5021Q Process Flow Analysis
Process flow analysis of the SK hynix Hi-5021Q, a 50 MP 0.70 µm pixel pitch CMOS image sensor. This is the first 0.70 µm pixel from SK hynix analyzed by TechInsights, and it uses the first high-volume production, commercially available image sensor with innovating air gap grid.Research Bulletin – Top-50 Semiconductor Supplier Marketshare Climbs to 90% in 2023
The top‑50 semiconductor suppliers accounted for 90% of the $559.1 billion global semiconductor market last year, an increase of four points from 86% in 2022.Qualcomm QTM565 FR2 Antenna in Package (AiP) Packaging Analysis
The Qualcomm QTM565 antenna in package (AiP) is a 5th generation 5G mmWave antenna solution for mobile handsets. It features simultaneous transmit/receive front-end die and power management functions. The physical packaging of the QTM565 is similar to its predecessor the QTM545, with a central printed wiring board (PWB) hosting the dies and the antenna elements comprising separate laminate blocks.
Electronics Forecast 2024
Explore the 2024 electronics sales forecast, highlighting surges in IT infrastructure, server sales, and storage solutions. Gain insights into projected sectoral growth and market dynamics shaping the industry landscape for the year ahead.Q1 2024: Apple: Underperformed With Sluggish Demand In Developed Markets
Apple iPhone shipments declined 11% YoY to reach 49.2 million units and 17% market share slipping to second spot in global smartphone market in Q1 2024. One key reason for decline is slowdown in China given Huawei’s growth in the country as well as the restriction from Chinese government limiting use of iPhones by government officials. Moreover, not just China, iPhone shipments declined in most of the regions amid lengthening replacement cycle.India Smartphone Vendor and OS Market Share: Q1 2024
India smartphone market grew by 10% annually but declined by -11% sequentially in Q1 2024. A double-digit annual growth in the first quarter of 2024 itself augurs well for the whole year. Xiaomi, Vivo and Samsung were the top three smartphone vendors in the quarter.Qualcomm SDR875-004 Converged RF Transceiver LNA Block RFIC Process Analysis
The HG11-13972-2 RF transceiver die from the Qualcomm SDR875-004 RF transceiver was removed from the Samsung S24 Ultra 5G smartphone (SM-S928W).North America Smartphone Vendor & OS Market Share by Country: Q1 2024
Growth in the North American smartphone market declined in Q1 2024, the seventh quarter in a row of negative annualized growth for the region. Six of the Top-10 brands recorded negative annual shipment growth. Apple was the Number 1 smartphone vendor in the quarter by units shipped and Samsung led in Android.Broadcom BCM88920 StrataDNX™ Ramon3 51.2Tb/s Fabric Element BGA Exploratory Packaging Report
The Broadcom BCM88920 StrataDNX™ Ramon3 is telecommunications industry switch gear packaged in a flip-chip ball grid array (FC-BGA) style package. It has a large footprint with over 9,000 package connections and a very low die-to-package ratio. This report presents detailed delayering of the organic substrate supporting the chip, as well as die photographs and analysis.Deep Dive Teardown of the Huawei Freeclip T0017C Wireless Earbuds
Several manufacturers share the design wins for the Huawei FreeClip wireless earbuds. The Bluetooth 5.3 SoC is supplied by WuQi. Maxscend provided BLE Voice Remote Control. ConvinientPower supplied the High Efficiency Wireless Power Receiver. SOUTHCHIP provided charging chips.Sony 1.8MP 1.5μm Pixel Eye Tracking Camera from Apple Vision Pro Device Essentials
The Sony IR Eye Tracking Camera CMOS image sensor (CIS) was extracted from the Apple Vision Pro Headset (A2117). The Sony CIS is a 1.8 MP, monochrome, 1.5 μm, stacked back-illuminated (BI) electronic rolling shutter utilizing Exmor RS technology.Samsung GM5 ISOCELL 2.0 Standard Floorplan Analysis
The ISP die from the Samsung S5KGM5SX, a rear periscope-telephoto camera module that was extracted from the Google Pixel 7 Pro smartphone. The camera module measures 29.6 mm × 14.4 mm × 6.8 mm thick. It contains a stacked imager comprising a CIS die and an ISP die.Survey Plus Teardown of the Xiaomi Redmi A3 23129RN51H Smartphone
The Xiaomi Redmi A3 has a 90Hz, 6.71-inch IPS LCD display with a resolution of 720 x 1650. The Redmi A3 has three cameras. The main one is an 8 MP Wide-Angle Rear Camera with an image sensor made by SmartSens, the second one is 0.08 MP QVGA Rear Camera with an image sensor made by SmartSens, and the last one is a 5 MP Wide-Angle Front Camera with an image sensor made by SmartSens. The device supports 10 W wired charging.OECD Mobile Voice and Data Price Benchmarking
The Q1 2024 update of the OECD Mobile Voice and Data Price Benchmarking service is now available for download and includes over 2,100 mobile voice and data tariff plans from 76 providers across 38 OECD countries.OmniVision 0V50H 50MP 1.2 μm Pixel PureCel Plus-S CMOS Image Sensor Device Essentials Folder
OmniVision 0V50H 50MP 1.2 μm Pixel PureCel Plus-S CMOS Image Sensor Device Essentials Folder Share This Post The OmniVision OV50H CMOS image sensor (CIS) was extracted from the Xiaomi 14 Pro rear camera, which offers high-quality imaging and superiorCXMT CXDB6CCDM-MA G3 8Gb LPDDR4X Memory Floorplan Analysis
The CXMT CXDB6CCDM-MA die was found inside CXMT CXDB6CCDM-MA LPDDR4X DRAM package.Automotive Sensor Demand Forecast 2022 to 2031 - April 2024
This market forecast for automotive sensors in light-duty vehicles shows that the packaged sensor market is now expected to grow at a CAAGR of 3.8% over 2023 to 2028.Forecast: Global Smartphone Sales by Biometric Authentication Enablers up to 2029
Biometric Authentication are systems that rely on the unique biological characteristics of individuals to verify identity for secure access.Report Overview: 2024 Lidar Market Update
As we navigate through the dynamic landscape of the lidar market, characterized by technological innovation, regulatory challenges, and shifting industry dynamics, it becomes critical for stakeholders to remain agile and adaptive.Automotive Semiconductor Market Shares 2023
Automotive semiconductors grew to 12% of total semiconductor sales in 2023, up from 10% in 2022.Q1 2024: Samsung: Record-High Smartphone ASP
Samsung shipped 60.0 million smartphones globally in Q1 2024, down 2% YoY, blaming the intensified competition in emerging markets such as Asia Pacific and Central & Latin America.ODM Sales Soar as Hyperscalers and Cloud Providers Go Direct
TechInsights' 2023 server market analysis shows that the world spent $120 billion on over 13.5M servers in 2023.Survey Plus Teardown of the Motorola Moto G Play Gen 3 XT2413-2 Smartphone
The Motorola Moto G Play XT2413-2 3rd Gen is the latest model in the Moto G Play series, succeeding the 2nd Gen Moto G Play. This smartphone boasts a Qualcomm Octa-Core Snapdragon 680 Applications/Baseband Processor built with 5 nm technology, as well as 4 GB Mobile LPDDR4X SDRAM from Samsung and Samsung’s 3D TLC V-NAND Flash Memory with a capacity of 64 GB. The previous model, on the other hand, was equipped with MediaTek’s Helio G37 with 12 nm technology.NAB 2024: Key Takeaways for Connected TV
This report summarizes major ASTC 3.0 related announcements at the 2024 National Association of Broadcasters Show (NAB). Companies mentioned include LG, Samsung, Sony, TCL, Hisense, Mediatek, iWedia, Realtek, ADTH, Stavix, Velo, Zapperbox, and ZinwellMarket Shares: Compute Servers Q4 2024
This workbook breaks down the revenue from the sales of servers in 2023 by vendor. In 2023, 13.6M servers were shipped globally, with a combined value of $121B. With cloud providers selling access to infrastructure, and hyperscalers providing services from vast server estates, its perhaps not surprising that the role of original design manufacturers (ODMs)—which ship custom servers to large entities—is becoming more relevant.Smartphones: Global Artificial Intelligence and Sensor Technologies Forecast to 2029
Artificial Intelligence (AI) is an integral part of a modern smartphone. Edge AI computing is pushing more processing on-device as vendors use more AI in several areas. Virtual assistants such as Siri, Bixby and Google Assistant are already becoming commonplace and on-device AI is increasing their abilities. Generative AI has emerged to turbocharge AI capabilities.Analysis: As TV Manufacturers’ Revenue Models Evolve, Samsung, LG, and Vizio Shift Their Focus to Advertising
The television manufacturing business is changing. Long a high volume (units sold), high gross revenue, low margin business, the development of connected TVs and free ad-supported TV (FAST) services, is fundamentally changing the TV manufacturer business model, at least for those manufacturers that have an in-house (i.e., first-party) TV operating system (TV OS).Analysis: Global Foldable Smartphone Shipments Forecast and Revenues
Samsung was the leader in foldable-display smartphone shipments in Q4 2023, followed by Huawei and Motorola. Shipments grew YoY, but major markets in North America and Western Europe declined heavily because of ongoing geopolitical and economic turbulence and Samsung's softness.Huawei Pura 70 Series Image Sensors
There are expectations that Huawei will move away from SONY to use made-in-China image sensors in the Pura 70 series. This report provides insight into the image sensors used in the Huawei Pura 70 series.Deep Dive Teardown of the Magna Electronics ADAS GP009379212 Automotive
Launched in 2023 by the USA vehicle company Fisker, the mid-size electric crossover SUV. The Fisker Ocean is equipped with an array of advanced driver assistance systems (ADAS). Utilizing computer vision, radar, and diverse sensors, these ADAS features enhance safety and comfort for the driver.Deep Dive Teardown of the Apollo Zhilian Cockpit Domain Controller CDC? Automotive
Several manufacturers share the design wins for Apollo Zhilian for Jidu Robo-01 Cockpit Domain Controller. The main processor came from Qualcomm® Snapdragon Automotive generation. The Qualcomm SA8295P is a high-end processor, made with 5 nm FinFET process, featuring an octa-core CPU. Renesas supplied the 32-Bit Microcontroller from RH850 family created among others for automotive electronics.Survey Plus Teardown of the Honor Magic 6 BVL-AN00 Smartphone
The Honor Magic 6 comes with a 6.78-inch OLED touchscreen display offering a resolution of 2800 × 1264. The display has a frequency of 120 Hz. The phone is powered by a Qualcomm SM8650-002-AB Applications/Baseband Processor.Survey Plus Teardown of the Vivo S18 5G V2323A Smartphone
The Vivo S18 is equipped with the Qualcomm Snapdragon 7 Gen3 processor. This phone is powered by a 5000 mAh battery with support for 80 W fast charging. The SIM card tray can accommodate two nano-SIM cards.Deep Dive Teardown of the ecobee Smart Thermostat ECB601 Home Thermostat
The ecobee Smart Thermostat ECB601 is designed to control home heating and cooling systems directly from the phone app or voice commands with Siri or Alexa to create heating and cooling schedules as well as view energy usage reports.Analysis: Global 5G and LTE Handset Revenue and ASP by Vendor – Q4 2023
Global 5G handset industry revenues and shipments grew double digits in Q4 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing behind Apple. Samsung is the world’s second-largest 5G vendor in terms of shipments and revenues.Autonomous Vehicle Carmaker Announcements
This database covers all the major carmaker announcements in regards to autonomous vehicles, for both semi- and fully autonomous technologies. Comments are embedded in the "Release Date" column to give further details, e.g., "End of 2024". In this update, TechInsights has included announcements and releases of different ADAS and automated driving platforms by SAE Levels of automation from automakers and Tier 1 developers worldwide.
Apple Vision Pro Teardown
Discover the inner workings of the Apple Vision Pro A2117 with our in-depth teardown analysis. Explore its M2 processor, Micro-OLED display, sensor suite, connectivity options, and spatial audio technology.Deep Dive Teardown of the Caterpillar S75 BM1S1B Smartphone
The Main Cover is a Co-Molded Reinforced Plastic Frame. It consists of a Glass-Filled Plastic Inner Frame and Soft Plastic Outer Frame. Waterproof elements available in the construction of devices for: Sealed Buttons Port with rubber membrane, Sealing Tape around the Main Enclosures, Silicone Gasket on Sim Tray Cover, and Sealing Tape around Cameras Windows and Camera Window's Positioning Handle.Deep Dive Teardown of the ecobee Smart Doorbell EB-CAMSDB-01 Doorbell Camera
The Ecobee Smart Doorbell Camera is a smart home security system unit equipped with a 175-degree vertical view camera. It can stream a live view to Ecobee Smart Thermostat through low energy communication system of BT 5.0 and 2.4/5 GHz WiFi.Forecast: Global 5G Smartphone Wholesale ASP & Revenue by Technology to 2029
Global 5G smartphone wholesale revenues will surge between 2019 and 2029. 5G is by far the fastest-growing sector of the smartphone industry for the next decade. The majority of mmWave sales volumes will come from the North American market. Sub-6GHz will remain the main 5G technology for the foreseeable future.SK hynix Hi-5021Q 50MP 0.70μm Pixel CMOS Image Sensor Device Essentials Plus
The Hi-5021Q is a 50 MP, 0.70 µm stacked back illuminated CMOS image sensor. It is the first SK hynix image sensor analyzed by TechInsights to use hybrid bond to connect the CIS and ISP dies. This is also the first commercially available image sensor to use an air gap grid.Deep Dive Teardown of the Xiaomi Redimi K70 Pro 23117RK66C Smartphone
Both smartphones also have the same battery charging design with the only exception that Xiaomi 14 Pro has Wireless charging capabilities. It is also similar to the Xiaomi Redmi Note 13 Pro’s design with a difference in which main SoC (SC8551A) was used.Deep Dive Teardown of the Fitbit Charge 6 G3MP5 Activity Tracker
The Fitbit Charge 6 G3MP5 is the latest activity tracker from Fitbit Inc. and an improved successor to the Fitbit Charge 5 FB421. The Charge 6 has a 1.04” OLED Display. In both devices, the Display/Touchscreen Subsystem is made by Truly Opto-electronics and has the same part number. The Display Panel and touchscreen Module are separated in this subsystem.
Exploring Tesla's Full Self-Driving
Embark on a journey with TechInsights as we explore the world of Tesla's advanced Full Self-Driving (FSD) driving capabilities.
Unlocking Insights: SoC Design Analysis Q1 2024 Briefing
This briefing features key innovations in the latest new technologies: TSMC 3 nm and Intel 4 nm, and the disruptive SMIC 7 nm N+2, through the lens of digital gates and standard cell libraries. Discover our latest benchmarking on scaling and transistor density metrics of leading flagship SoCs like the Apple A17, HiSilicon Kirin 9010, and MediaTek Dimensity 9200.Samsung K9DYGY8J5B-CCK0 236L 1Tb 3D NAND Internal Waveform Analysis
The Samsung 1 Tb 236L triple-level-cell (TLC) 3D NAND flash memory device (die markings: K9AKGD8J0B) is one of 16 dies packaged inside one Samsung K9DYGY8J5B-CCK0 NAND flash memory package, which was found in the MZ-V9P4T0 990 Pro PCIe 4.0 NVMe M.2 4 TB solid state drive (SSD).
HiSilicon Kirin 9010 Powers the Huawei Pura 70 Ultra
HiSilicon Kirin 9010 Powers the Huawei Pura 70 Ultra Discover the groundbreaking technology behind Huawei's Pura 70 Ultra smartphone, powered by the innovative HiSilicon Kirin 9010 processor. Delve into our in-depth analysis by the TechInsights teamSony LYTIA LYT900 50MP 1.6μm Pixel ISP Die Standard Floorplan Analysis
The Sony LYTIA LYT900 is a rear wide-angle camera module that was extracted from the Oppo Find X7 Ultra (PHY110) smartphone. The camera module measures 27.96 mm × 31.16 mm × 10.68 mm thick. It contains a stacked imager comprising a CIS die and an ISP die. This floorplan analysis (FAR) examines the ISP die.Value Share: Global Smartphone Vendor ASP and Revenue Share by region: Q4 2023
Global smartphone industry revenues grew by 8% in Q4 2023. Apple, Samsung and Xiaomi were the top three vendors and together accounted for 3/4th of the global revenues. Apple was the leading vendor by revenues in all of the six regions tracked.Forecast: Global 5G Smartphones by Technology up to 2029
We expect mmWave technology to reach 11% penetration of all 5G smartphones in 2023. The majority of sales volumes come from the North American market.Deep Dive Teardown of the Huawei Eyewear 2 LFT-G00 Smart Glasses
The Huawei Eyewear 2 LFT-G00 are smart glasses that include two speakers in the temples to create a comfortable open-ear audio listening experience without the need for earpieces. It features the WUQI microelectronics WQ7036AX Bluetooth 5.3/Audio SoC with memory.Efficient Power Conversion EPC2619 100V 3.3mOhm Gen 6 GaN Transistor Power Essentials
The Efficient Power Conversion EPC2619 device includes a single gallium nitride (GaN) power high electron mobility transistor (HEMTs) die with a continuous rating voltage of 100 V, the power GaN transistors operate in enhancement mode (normally-off) and exhibit a typical 3.3 mΩ on-resistance (RDS(ON)) at 5 V VGS bias.Apple Watch Series 9 (S9 SiP) Digital Floorplan Analysis
The Apple TMQW67 die, examined in this digital floorplan analysis (DFR), was found inside the Apple S9 module. The Apple S9 system-in-package (SiP) module was extracted from the Apple Watch Series 9 GPS+Cellular (A2984).Micron D1β LPDDR5X DRAM Process Full Flow Analysis
A Synopsys 3D-emulation analysis of the process flow and integration used in the manufacture of the Micron Technology MT62F1G64D4AM-031_XT_C (Y52P die), built in Micron’s D1b nm technology. The emulation includes SPX input files that can be used as input into other Synopsys models.STMicroelectronics Ouster OS1 LiDAR SPAD Array Sensor – L3 Chip Process Flow Analysis
The STMicroelectronics Ouster L3 Chip with 10 µm pixel pitch is the third-generation LIDAR chip. It is a stacked back-illuminated direct Time-of-Flight (d-ToF) SPAD sensor, which competes directly with the SONY IMX459. The L3 SPAD chip has many innovations, including hybrid bonding, in die quench resistor, dual DTI pixel isolation, finger capacitor, and diffraction grating.OmniVision OV50K 50MP 1.2μm Pixel PureCel Plus-S CMOS Image Sensor Device Essentials Folder
The OmniVision OV50K CMOS image sensor (CIS) was extracted from the Honor Magic 6 Pro smartphone (BVL-AN16). The OmniVision OV50K is a Quad Bayer color, 50 MP, stacked back-illuminated (BI) electronic rolling shutter CIS utilizing the PureCel Plus-S technology for mobile cameras. This device essentials (DEF) report examines both the CIS and image signal processor (ISP) die.Memory Consumption Report Q1 2024
This quarterly report provides a comprehensive analysis of the where DRAM and NAND are consumed. This unique perspective considers where the electronics devices that use memory (for example smartphones, servers, and PCs) are ultimately used.Global Smartphone Revenues and ASP Forecasts by 88 Countries: 2012 to 2029
TechInsights predicts global smartphone wholesale revenues will grow 3% YoY in 2024, largely driven by the rebounded sales volumes while ASP would remain relatively soft. Smartphone revenue will keep growing annually from 2025 onwards throughout the forecast window, thanks to 5G migration and new tech and form factor innovations.Lenovo ThinkPad X1 Carbon Gen 11 (14” Intel) Laptop Battery Characterization Supplementary Report
The Lenovo ThinkPad X1 Carbon Gen 11 (14'') is an important market-leading laptop computer powered by four ATL battery cells connected in series. The battery pack is configured by Celxpert Energy Co. This device was analyzed due to its high interest in laptop swelling control, and its comparison with other market-leading laptops provides deep insight into the different methods used by product OEMs to extend the life of battery laptops.Vendors Shares and Features - Q2 2024
TechInsights’ Automotive Infotainment & Telematics System and Semiconductor Market Report provides a forecast outlook for the OEM and Aftermarket with detailed feature forecasts as well as vendor market shares for the main suppliers of systems and application specific semiconductors.Architecture and Circuit Changes in the Qualcomm SDR875 Converged RF Transceiver
The SDR875 converged FR-2-IF/FR1 transceiver in the Samsung Galaxy S24 Ultra handset replaced two SDR735 FR1 and one SMR546 FR2-IF transceivers in the Galaxy S23 Ultra. This blog draws attention to some of the architectural and circuit changes involved. Most attention is given to the receive paths which were affected the most.Cloud Accelerators Are Abundant; Just One GPU is in Scarce Supply
Every hour for a week, TechInsights probed Amazon Web Services to see what accelerators were available in what regions. In general, accelerator-based instances from AWS are widely accessible from a wide range of regions. However, end-users seeking access to newer NVIDIA H100 instances, ideal for Large Language Models, are likely to face frustration due to ongoing supply chain issues.Autonomous Vehicle Start-ups & Small Companies
This database covers the key start-ups and small companies that collectively are playing an important role in the development of autonomous vehicle technology. In the spokesperson section the name is highlighted with a hyperlink in order to be redirected to the person's LinkedIn or personal profile.Forecast: Global Smartphone Sales by Technology for 88 Countries to 2029
Global smartphone sales declined in 2023. Growth going forward is slow as geopolitical and economic turbulence keeps growth moderate. Asia Pacific will remain by far the world's largest region for 5G smartphone sales.Forecast: Global 5G Smartphone Installed Base for 88 Countries to 2029
TechInsights estimates that global demand for 5G smartphones is growing and installed base with it. Asia Pacific was the largest region with nearly two thirds share of the installed base in 2023.Deep Dive Teardown of the Apple Vision Pro A2117 AR Headset
Global fitness band industry revenues plummeted by 44% in Q4 2023. This marks the fifteenth consecutive quarter of year-over-year (YoY) fitness band revenue declines amid macroeconomic weakness and geopolitical uncertainty.
Global Smartphone Wholesale Revenue: 5-Year Growth Projection
In 2023, global smartphone sell-through wholesale ASP hit a historic high, signaling robust revenue growth potential until 2029, as outlined in TechInsights' "Smartphone Revenues and ASP Forecasts by 88 Countries" report.
Huawei Pura 70 Ultra Teardown
Uncover the secrets of the Huawei Pura Ultra 70 with our in-depth teardown analysis. From the Kirin 9010 chipset to the innovative camera system, learn what sets this device apart.VALUE SHARE: Global Fitness Band Revenue and ASP by Vendor by Price Tier: Q4 2023
Global fitness band industry revenues plummeted by 44% in Q4 2023. This marks the fifteenth consecutive quarter of year-over-year (YoY) fitness band revenue declines amid macroeconomic weakness and geopolitical uncertainty. Prices have reversed course back to ASP declines due to commoditization at the low-end, and shrinking volumes at the high-end, after briefly ticking upward slightly in 2022 due to unrelenting inflation.VALUE SHARE: Global Smartwatch Revenue and ASP by OS by Price Tier: Q4 2023
Global smartwatch revenues fell by 3% year-over-year (YoY) during Q4 2023. The decline was driven by slumping shipment volumes and slightly lower ASPs due to macroeconomic weakness and geopolitical uncertainty limiting consumer demand and discretionary spending and causing a lengthening replacement cycle.System Shipments, Revenues & Feature Forecast - Q2 2024
TechInsights’ Automotive Infotainment & Telematics Q2 2024 System Market Report provides a forecast outlook for the OEM and Aftermarket hardware and feature market from 2021 through 2031. 2022 represented a year of reasonable growth which saw the OEM market opportunity for Infotainment & Telematics system vendors rising by 5.4% YoY in revenue terms.Semiconductor Units, Revenues & ASP Forecast - Q2 2024
TechInsights’ Automotive Infotainment & Telematics Q2 2024 Semiconductor Market Report provides a forecast outlook for OEM and aftermarket hardware and applications market from 2021 through 2031. The OEM market opportunity for Infotainment & Telematics chipset vendors increased by 13.5% YoY in revenue terms in 2023 on the back of strong vehicle production growth of over 10% YoY.Blink Mini 2: Amazon’s Blink Flexes its Semiconductor Strengths to Create the Blink Mini 2 Surveillance Camera
5G is the biggest technology followed by 4G (LTE). LTE (4G) sales continue to decline as a result of growing interest in 5G devices. LTE still grows in Middle East and Africa region. 3G is in sunset in most of the regions except Middle East and Africa that still has strong 2G/2.5G sales also.Forecast: Global Handset Sales by 88 Countries and 19 Technologies up to 2029
5G is the biggest technology followed by 4G (LTE). LTE (4G) sales continue to decline as a result of growing interest in 5G devices. LTE still grows in Middle East and Africa region. 3G is in sunset in most of the regions except Middle East and Africa that still has strong 2G/2.5G sales also.
Samsung to be the Pro AI Generative Smartphone Leader in 2024
Samsung to be the Pro AI Generative Smartphone Leader in 2024 Samsung leads the charge in generative AI smartphones with the S24 series, revolutionizing user experiences. With Apple's entry on the horizon, expect a dynamic shift in smartphoneDeep Dive Teardown of the Vivo IQOO 12 I2220 Smartphone
The Vivo IQOO 12 I2220 is the first smartphone in India to have the Snapdragon 8 Gen 3 chipset. It’s also the first device to run on a dual chip design, as the main unit is paired with a customized Supercomputing Chip Q1. This new chip is designed to boost gaming experience and allows for 144 FPS gaming. The BGA package is rather small measuring 4.3 x 3.9 mm with a die size of 3.95 x 3.6 mm manufactured by Novatek.Beken BK3296 22nm Bluetooth Audio SoC Process Flow Analysis
This report provides an analysis of the process flow and integration used in the manufacture of the Beken BK3296 Bluetooth Audio SoC, built using UMC's 22 nm ULP technology. UMC’s 22 nm ULP process derives from the company’s earlier 28 nm planar CMOS technology and offers up to a 10% relative area gain and better power/performance ratio with enhanced RF capability. The spreadsheet lists the hundreds of process flow steps likely used in its manufacture.Analysis: EV, Shared-Mobility Support Critical for Thriving Cities
Upgrading transportation systems in cities like Los Angeles, especially shared mobility at the curb, is critical to their success. For Los Angeles, this undertaking is especially urgent as the city prepares to host three major events: the World Cup in 2026, the Superbowl in 2027, and the Summer Olympic Games in 2028.VALUE SHARE: Global Smartwatch Revenue and ASP by Vendor by Price Tier: Q4 2023
Global smartwatch revenues shrunk by 3% in Q4 2023 due to declining shipment volumes as well as slightly lower ASPs caused by macroeconomic weakness and geopolitical uncertainty limiting consumer demand and discretionary spending.onsemi AR0341 3MP 2.1µm Pixel HDR and LFM CMOS Image Sensor Device Essentials Folder
The onsemi AR0341AT CMOS image sensor (CIS) was extracted from the onsemi ONS-AR0341ATSC15XUEA0-DPBR-E part. The onsemi AR034AT is a Bayer color, 2.1 μm, stacked back-illuminated (BI) electronic rolling shutter Hyperlux 2nd Generation Super-Exposure Pixel Technology CIS for automotive applications.
Notebook PC Market Surges: 7% Growth Signals Strong Start to 2024
The first quarter of 2024 witnessed a resilient performance in the notebook PC market, with shipments growing compared to the same period last year.
Touching New Heights: The Booming Smartphone Fingerprint Sensor Market of 2023
In 2023, the Smartphone Fingerprint Sensor market saw a notable 4% annual unit growth, driven by a surge in adoption of Fingerprint-On-Display (FoD) and Capacitive sensor products.
A Tale of Three Phone Chips: Eco Edition
TechInsights discovered a 20% gap in carbon emissions from manufacturing the semiconductors of three flagship phones, despite smartphones being typically evaluated based on screen size, processor speed, and camera resolution.
Huawei Pura 70 Series: Redefining 5G Connectivity and Premium Innovation
Featuring four distinct models and boasting cutting-edge technology like Kirin 5G chipsets and innovative camera systems, these smartphones are poised to make a significant impact.Global Digital Media Streamer Forecast 2018-2029
The global market for digital media streamers attained maturity in 2021 and declined by 1.5% year-on-year for second consecutive year in 2023, with global shipments at 95.9 million units. The category is in its declining phase and CAGR is anticipated to fall 2.6% between 2024 and 2029.Automotive Semiconductor Market
Automotive semiconductors grew to 12% of total semiconductor sales in 2023, up from 10% in 2022. Infineon and NXP continue to lead the automotive semiconductor supplier sales with STMicroelectronics closing in. Infineon gained over a full percentage point of market share compared to 2022, reaching 13.7% of the automotive semiconductor market in this year. NXP fell by almost a percent to a 10.8% share.Huawei Pura70 – Key Event Brief
The release of the Huawei Pura 70 Ultra has sparked a number of questions about the rebranded Huawei P-series with TechInsights analysts investigating its properties and capabilities.Huawei Pura 70 Series: A New Hit for 5G and Premium Tier
Following the huge success of the Mate 60 Pro, Huawei launched another flagship smartphone—the Pura 70 series on April 18, 2024 in China. The Pura 70 series includes four models—Pura 70, Pura 70 Pro, Pura 70 Pro+ and Pura 70 Ultra. The Pura 70 Pro and Pura 70 Ultra hit the shelves the same day, and the Pura 70 and Pura 70 Pro+ will become available on April 21 in China.AMD Launches Gen-2 Versal SoCs Targeting ADAS
AMD launched the second generation of its Versal SoCs, emphasizing heterogeneous solutions encompassed in a monolithic form factor. Designed to leverage flexibility offered by programmable logic, the new generation of devices will incorporate pre-processing, artificial intelligence (AI) inference and post-processing in single chip solutions.Optical Fiber Communication Conference (OFC) 2024: Key Takeaways
This complementary Insight summarizes the main themes and highlights of the 2024 Optical Fiber Communications Conference (OFC). In recent years, OFC featured a balance of telecom and data center talks and products. This year, telecom took a secondary role. Instead, Artificial Intelligence (AI) sucked all the oxygen out of the room.Forecasts: Smart Speakers and Smart Displays
Smart speakers and smart displays provide smart homes with a convenient and intelligent interface through which to control and manage their smart home needs, spanning entertainment, information, and automation.Global Fitness Band Sales Forecast for 88 Countries: 2012 to 2029
We expect global fitness band sales to decrease by 8.1% to 16.4 million units in 2024 and further by 3.7% to 15.8 million units in 2025. Following this period of substantial decline is relative stabilization from 2027 (0.6% decline) through 2029 (0.7% decline) globally.
Huawei Pura 70
Discover the inner workings of the Huawei Pura 70 with TechInsights' comprehensive teardown analysis. From processor comparisons to satellite implementation strategies, take a deep dive into the latest tech revelations.Deep Dive Teardown of the Eero Max 7 V010001 Router
The Eero Max 7 is based on Qualcomm’s WiFi SoC, supporting WiFi 7. The device was equipped with eight antennas, it features both 5 and 6 GHz WiFi 7 with 4x4 MIMO connectivity. Two antennas support 2.4 GHz WiFi 6 and another one for Zigbee, Thread, and Bluetooth LE 5.0.Deep Dive Teardown of the Samsung Galaxy SM-S921U1 Smartphone
Qualcomm has the most design wins for the Samsung Galaxy S24 SM-S921U1, supplying 20 of the 43 major designs. The Samsung Galaxy S24 SM-S921U1 comes equipped with advanced connectivity features including WiFi 7, Bluetooth 5.3, GPS support for L1 and L5, 5G mmWave technology, NFC, and wireless charging capability.
FREE MPR Report: Apple, ADAS, And Spatial Computing
Discover how Apple's Vision Pro AR headset leverages advanced sensor processing, drawing inspiration from autonomous driving technologies like ADAS.OECD Fixed Voice and Leased Line Price Benchmarking Q1 2024
The Q1 2024 OECD Fixed Voice and Leased Line Price Benchmarking Service update is now available for download. The services incorporate the OECD 2017 baskets, the latest baskets available for fixed voice and leased lines.Analysis: Tablet Forecast Update Q4 2023 – Tablet Growth to Return in Post-COVID Refresh Cycle
The last half of 2023 showed tablet demand begin to stabilize as inflation cooled, the economy improved in many markets, and tablets purchased during the pandemic began hitting the mid-point of their lifecycles.Global Wearables Shipment Forecast by Type by Vendor by Quarter: 2017 to 2024
Global wearable shipments are forecasted to grow by 4% in 2024, after declining by just 1% in 2023 and before that declining by 6% in 2022. Apple, Huawei, and Samsung will remain the market leaders in the global total wearables market.Samsung S5540 FR1 RF Transceiver Floorplan Analysis
The Samsung S5M5540 die analyzed in this basic floorplan report (BFR) was found inside the Samsung S5540 component. The S5540 component, an RF transceiver, was extracted from the Samsung Galaxy S24+ smartphone.Samsung S5820 Envelope Tracker Floorplan Analysis
The Samsung S5M5820A01 die analyzed in this basic floorplan analysis (BFR) report was found inside the Samsung S5820 component. The S5820 component was extracted from the Samsung Galaxy S24+ smartphone.
Can OliverIQ Transform the Smart Home market?
OliverIQ was unveiled at the Consumer Electronics Show (CES) 2024 as a Smart Home-as-a-Service (ShaaS) for a variety of service providers, including ISPs, home center retailers, and home builders to offer to their customers.Micron Technology MT29F2T08ELLLHL4-QA_L 232L 512Gb TLC 3D NAND Flash Memory Floorplan Analysis
The Micron Technology B57T die is found inside Micron Technology MT29F2T08ELLLHL4-QA_L package. There is one Micron Technology MT29F2T08ELLLHL4-QA_L package in the Micron Technology MTFDKBA256TGE-1BL1AABYY 256 GB 22 × 80 mm M.2 form factor solid-state drive (SSD).Automotive Semiconductor Vendor Share 2023 – STMicroelectronics closes in on NXP and Infineon
Infineon and NXP continued to lead the automotive semiconductor supplier rankings in 2023, based on TechInsights annual assessment of automotive semiconductor vendor share, which presents analysis of 2023 automotive sector revenues and market shares of the leading semiconductor vendors, with revenue splits by major component product category and by region.Charging Infrastructure Database
This database provides a panoramic view of key players in the charging infrastructure business worldwide, including charging point manufacturers, charging point operators, and service providers.Has Qorvo Given up on GaN?
Qorvo, which produces gallium nitride (GaN) based radio products for millimeter wave applications, announced it would acquire CMOS millimeter wave radio chip vendor Anokiwave. This raises the question: Does Qorvo plan to abandon GaN in favor of CMOS?Hybrid Si IGBT, SiC MOSFET Power Modules Add Efficiency to Motor Inverters Without the Cost
In March 2023, Tesla CEO Elon Musk said that the electric vehicle maker will use 75% less silicon carbide (SiC) without compromising the performance or efficiency of its models. TechInsights’ Stephen Russell gave predictions on how chip designs could enable this. But at the 2024 Automotive Tech Forum, vendors such as Infineon and STMicroelectronics, presented the answer: The hybrid power electronics module that uses both silicon and SiC devices.3D NAND – Speed boost between NAND to SSD Controller
As the memory capacity of NAND packages increases with increased stacking of dies, issues such as large capacitive loading, input/output (I/O) speed reduction, and increased power consumption limit the packages from achieving the desired I/O speed, even when paired with a suitable SSD controller. This featured content report explores some recent NAND to SSD controller techniques.
Cockpit Innovations: Streaming, Gaming, Audio and Apps
TechInsights' report on cockpit innovations examines developments of in-car infotainment in audio streaming, video, and gaming, examining announced OEM partnerships, data and content service providers, and trends in displays.
Will there be an Apple M3 Ultra?
Dive deep into the absence of high-density interconnect pads in the M3 Max and its implications for the highly anticipated Apple M3 Ultra.Research Bulletin – TSMC Climbs to #1, NVIDIA Posts Triple-Digit Growth in Final 2023 Ranking of Top 25 Semi Suppliers
Final calendar year 2023 ranking of the top 25 semiconductor suppliers are presented. No new suppliers broke into the top 25 list in 2023, but there were significant changes in where companies were ranked.Apple A17 Pro SoC GPU Design Analysis
This report provides an analysis of the standard cells comprising about 70% of the area analyzed in the logic block of the Apple A17 Pro 3 nm GPU, fabricated using FINFLEX methodology by TSMC. Standard cells schematics are extracted to determine routing efficiency, gate density and global metal usage survey.Intel Must Undercut Its Competitors to Win AI Share
At Intel Vision, the company launched a new version of its AI ASIC Gaudi, and a new version of Xeon. The company is in the midst of a strategic shift, moving from a shipper of components to a holistic silicon, software, and engineering firm with generative AI at its very heart. But this isn’t a quick shift and the company appreciates it’ll take time to rekindle its spark. To take on NVIDIA, it has to slash prices to gain traction.
Revving Up: The Latest Breakthroughs in AI for Automotive Innovation
As automotive technology continues to evolve, so does the role of artificial intelligence (AI) within the industry.NAND Market Report Q1 2024
This analysis covers supplier production trends, market shares, shipment volumes, revenue, and pricing forecasts. It examines the technological and manufacturing innovations influencing the industry and identifies the key developments driving market demand.Global Foldable Smartphone Shipments Forecast by Vendor by Region by Quarter to 2025
Samsung's share is eroding but it will keep peak position until 2025 when Huawei surpasses it. We forecast Samsung will retain second place globally still in 2025 followed by Honor taking third position. The foldable market is growing but Apple's absence is still keeping the market growing slowly. We expect Apple to join in 2026 or 2027.VALUE SHARE: Global Foldable Smartphone Revenue and ASP by Vendor : Q4 2023
Global 5G handset industry revenues and shipments grew double digits in Q4 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing behind Apple. Samsung is the world’s second-largest 5G vendor in terms of shipments and revenues. Samsung is the biggest vendor in LTE shipments and revenues.Global Top-500 Smartphone Shipments, ASP, and Price Band by Model: Q4 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the fourth quarter of 2023. The models included in the report cover 89% of the total smartphone shipments in Q4 2023, including all major vendors as well as many locally significant microvendors.Global Top-500 Smartphone Shipments, ASP, and Price Band by Model with Specs: Q4 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their shipments, wholesale ASP, and price band in the fourth quarter of 2023. The models included in the report cover 89% of the total smartphone shipments in Q4 2023, including all major vendors as well as many locally significant microvendors.Global Top-500 Smartphone Revenue, Value Share, ASP, and Price Band by Model: Q4 2023
This highly detailed report shows the top-500 best-selling smartphone models globally in terms of their revenue, value share, wholesale ASP, and price band in the fourth quarter of 2023. The models included in the report cover 97% of the total smartphone revenue in Q4 2023, including all major vendors as well as many locally significant microvendors.Global Cellular Smartwatch Vendor Share Q1 2017 to Q4 2023
Global cellular smartwatch shipments fell for this first time ever by 6% YoY in the fourth quarter of 2023. Cellular penetration also fell slightly during the quarter as consumers reign in discretionary spending amid macroeconomic weakness and geopolitical uncertainty. Albeit from a low base, cellular smartwatches still outperformed the overall smartwatch market in 2023 as cellular penetration among smartwatches continues to rise slowly but steadily.Research Bulletin – Forecast for Denser DRAM in Servers via Increased CXL and HBM Integration Opportunities
In this research bulletin, it is predicted that servers will increasingly incorporate higher densities of DRAM, facilitated by advancements in technologies such as CXL (Compute Express Link) and HBM (High Bandwidth Memory). These technologies enhance the potential for DRAM integration, meeting the growing demand of traditional workloads which are becoming more memory-intensive.Global Cellular Smartwatch Vendor Share Q1 2017 to Q4 2023
Global cellular smartwatch shipments fell for this first time ever by 6% YoY in the fourth quarter of 2023. Cellular penetration also fell slightly during the quarter as consumers reign in discretionary spending amid macroeconomic weakness and geopolitical uncertainty.Smartphone Fingerprint Sensor Market Share Q4 2023: Revenue Grows YoY, Qualcomm Leads Rankings
The global smartphone fingerprint sensor market recorded more than 10% revenue growth in Q4 2023. Qualcomm grew its share, taking the top spot followed by Goodix and Egistec in the quarter. The market saw improvement in stocking activity for optical and ultrasonic fingerprint sensors for OLED-based customer sets in the period.Global Wearables Microvendor Market Share: Q4 2023
A large number of second-tier MICROVENDORS are playing a meaningful role in the global wearables market, flooding the market with new, niche, and segmented offerings. Global wearables shipments declined for the fifth consecutive quarter in Q4 2023 amid continued economic weakness and geopolitical uncertainty, causing a lengthening replacement cycle and limiting consumer spending.Western European Connected TV Market: 4Q 2023
The smart TV market continues to decline in Western Europe as consumer demand remain weak with households impacted by cost-of-living crisis but as inflation starts to ease, the market is expected to return to growth in 2024 with the coming Olympic games providing a boost to the replacement cycle. This analysis examines the state of the smart TV market and smart TV operating system (OS) market in Western Europe as of Q4 2023.Analysis: Smartphone Memory Market Share Q4 2023
The global memory market for smartphones achieved recovery with revenue growth exceeding 30 percent in Q4 2023. The seasonal demand drove the customer stocking of memory chips having the latest specifications, enabling the market to rebound annually.Deep Dive Teardown of the Samsung SSD 990 Pro Heatsink MZ-V9P4T0 SSD
The external aspect of the device is crafted from aluminum, serving as the primary component of its thermal design. This housing functions as the primary heat dissipater, absorbing and dispersing heat generated from within the device. The primary heat-producing integrated circuits (ICs) are covered with TIM (thermal interface material), establishing a connection with the housing, and facilitating the transfer of heat to ensure effective heat dissipation.YMTC 1Tb 232L QLC 3D NAND Flash Internal Waveform Analysis
The YMTC 1 Tb 232L quad-level-cell (QLC) 3D NAND flash memory device is packaged inside the YMTC YMN0AQF1B1HCAD NAND flash memory package, which was found on the ZhiTai Ti600 M.2 PCIe 4.0 NVMe 1 TB solid state drive (SSD). This Internal Waveform Overview (IWO) analysis provides an overview of the internal voltages required to program, read, and erase the flash memory cells.Telecom Network Equipment Market Update 2023
The overall telecom equipment market has slowed down in 2023 after few years of consistent growth. Global 2023 telecom equipment revenues have a -5% decline from 2022. As in the past, the total market is highly concentrated among the top few players, in 2023, the top 4 vendors accounted for almost 70% of the global market in 2023.Tier 1 Vendor Regional Design Center Database
TechInsights has updated its Automotive Electronics Design Center Database, where research and development of automotive electronics systems and components are undertaken by the world’s leading Tier 1 vendors. This database lists 13 new entries and new facilities, and 18 updated existing entries, which also includes centers developing technology in automotive communication, infotainment, telematics and electric vehicle batteries. In total, around 2,500 centers are listed.VALUE SHARE: Global 5G and LTE Handset Revenue and ASP by Vendor : Q4 2023
Global 5G handset industry revenues and shipments grew double digits in Q4 2023. Apple remains the leader of 5G shipments and revenues, but Samsung is growing behind Apple. Samsung is the world’s second-largest 5G vendor in terms of shipments and revenues. Samsung is the biggest vendor in LTE shipments and revenues.KIOXIA FXZ5_512G 162L 512 Gb TLC 3D NAND Transistor Characterization
The Toshiba TH58LKT2V46BA8S TLC 3D NAND flash is a 154-ball grid array (BGA), multi-chip package (MCP), measuring 13.5 mm × 11.5 mm × 0.9 mm thick, including solder balls. The TH58LKT2V46BA8S contains eight stacked KIOXIA 162-layer FXZ5 512G dies, arranged in two side by side four die stacks. Bond wires connect the two die stacks to the two wider sides of the printed wiring board (PWB).Deep Dive Teardown of the Samsung Galaxy S24+ SM-S926NZVEKOO Smartphone
The Samsung Galaxy S24+ is one of Samsung’s flagship S series phones launched at the Galaxy Unpacked event on February 17, 2024. The SM-S926N model is targeted at the Korean market. In the Samsung Galaxy S24+ SM-S926N phone, Samsung provided 15 out of 42 packages in design wins.
Unlocking Tomorrow's Semiconductor Secrets
Unlock the secrets of tomorrow's semiconductor industry with our exclusive fireside eBook featuring G. Dan Hutcheson. Gain invaluable insights into emerging trends, global dynamics, and the transformative impact of technologies like AI.
The Inner Workings: Analyzing Lithium-ion Coin Batteries in AirPods Pro 2 and Huawei Watch Buds
The Inner Workings: Analyzing Lithium-ion Coin Batteries in AirPods Pro 2 and Huawei Watch Buds Uncover the secrets behind the lithium-ion batteries in Apple AirPods Pro (Gen. 2) and Huawei Watch Buds. Learn how cathode composition influences energyTrackers: TV & Video Services
These quarterly reports provide subscriber, revenue, and market share data for subscription video-on-demand (SVOD) services, pay TV operators, online advertisers, video services, gaming services, and music services by vendor.Report Overview: Wi-Fi 7 Comes to the Automotive Industry
The unveiling of the Wi-Fi 7 certification marks a notable change in automotive connectivity norms. This overview provides insight into the contents of TechInsights' comprehensive report, "Wi-Fi 7 Comes to the Automotive Industry."India: Automotive Infotainment and Connected Services 2024 Update
Automakers are consistently incorporating additional connectivity, safety, security, and entertainment features to gain a competitive edge and sell more cars. In the pursuit of expanding their market share, connectivity is anticipated to play a role, as more models currently available in the market are equipped with embedded cellular connectivity, particularly top-end models.Report Overview: Executive Summary Telecom Predictions for 2024
This report is an overview of what is included within TechInsights Executive Summary – Telecom Predictions for 2024 full report. Telecom operators must make important decisions in 2024 amid transformative waves and emerging challenges.
Transsion Group Tops Middle East & Africa Smartphone Market in Q4 2023
Transsion Group Tops Middle East & Africa Smartphone Market in Q4 2023 Discover the latest insights into the Middle East and Africa smartphone market in Q4 2023. Transsion Group emerges as the dominant player, driving significant growth with its
Automotive Market Outlook Report
Gain the foresight needed to anticipate and adapt to changes in the automotive semiconductor landscape with valuable insights into market projections, emerging technologies, and key drivers.
Unlocking Tomorrow's Semiconductor Secrets
Get ready for an exclusive Fireside Chat with industry expert G. Dan Hutcheson. Explore the latest in semiconductor trends, geopolitics, China's tech advancements, and the impact of AI in 2024.
Unlocking the Challenges of 3D NAND Height: HAR and Process Struggles
In the NAND technology landscape, reducing the vertical gate pitch is a shared goal among major players like KIOXIA, SK Hynix, Micron, YMTC, and Samsung.Global Smartphone Monthly Shipments, Sales, and Inventory Variance by Vendor – March 2024
TechInsights tracked global smartphone shipments (sell in) which went up 2% YoY and sales (sell through) went down 1% YoY in February 2024. Although smartphone shipments rebounded, the industry is still suffering from the mixed consumer demand. Samsung gained the top place by shipments and sales from Apple which nudged down to the second position, followed by Xiaomi, vivo, Transsion, etc.Desay 26S1029 Li-Ion Wearable Battery (Amazon Echo Frames 3rd Gen Smart Glasses) Battery Essentials
This report describes a Battery Essentials (BEF) analysis of the Desay 26S1029 Li-ion battery extracted from the Amazon Echo Frames 3rd generation smart glasses. Powering a wearable device, the 26S1029 battery demonstrates interesting features that are presented in the report.Exploring the Opportunities for NVIDIA in the Chinese Automotive Market at GTC 2024
This report reviews the latest findings from NVIDIA’s GTC 2024 event and examines the future opportunities for NVIDIA in the Chinese market for the development of next-generation intelligent vehicles.indie Semiconductor Bolsters its ADAS Portfolio with Investment in AI Processor Vendor Expedera
indie Semiconductor’s investment in Expedera aims to add Expedera’s neural processing unit (NPU) semiconductor intellectual property (IP) to indie’s advanced driver assistance system (ADAS) silicon and software portfolio.Handset Vendor Market Share for 'Sixty' Countries - Q4 2023
Global handset shipments grew by 4.9% annually in Q4 2023. The "Sixty" countries tracked in this report accounted for 90% of the handset volumes in the quarter. Apple, Samsung and Xiaomi were the top three vendors by consolidated shipments to these markets.Q1 2024 DRAM Market Report
This quarterly report provides a comprehensive analysis of the DRAM market, covering key aspects such as supply and demand dynamics across various segments including PC, datacenter, mobile, automotive, and more.
Unlocking the Potential: Qualcomm's Showcase of Windows on Arm
At an exclusive event in New York, they showcased the impressive performance of their Snapdragon X Elite processor, surpassing rivals Apple M3 and Intel Core Ultra in both total compute power and energy efficiency.
Taiwan Earthquake Expected to Have a Short-Term Impact
In the wake of Taiwan's recent earthquake, the semiconductor industry stands resilient, with only short-term disruptions anticipated. Our thoughts are with those affected by this natural disaster, which has garnered attention as the most intense earthquake in Taiwan over the past 25 years.4Q 2023 Apple A-Series M-Series Processor Market Tracker
Apple's A-series and M-series applications processors (AP) revenue increased 4 percent year-on-year in Q4 2023 and a collective 8 percent year-on-year in 2023. Apple's A-series APs are used in iPhone, iPad, Apple TV, iPod Touch and HomePod while the M-series APs are used in iPad, MacBook, Macs and the Vision Pro devices. This report provides estimates of Apple's A-series and M-series shipments, revenues and ASPs by chip model from Q1 2010 to Q3 2024.Deep Dive Teardown of the Samsung Galaxy S24 Ultra SM-S928U1 Smartphone
The Samsung S24 Ultra is powered by the Snapdragon 8 Gen 3, which is faster than the Snapdragon 8 Gen 2 found in the S23 Ultra. The S24 Ultra introduces a flat display, moving away from the curved edges of the S23 Ultra. It also uses a more durable Gorilla Glass Armor for protection.
Taiwan Earthquake and the Semiconductor Industry
Discover the aftermath of the Taiwan earthquake on the semiconductor industry and global supply chains. Learn how major players like TSMC are navigating disruptions while prioritizing safety.
Taiwan Earthquake: Billion-Dollar Data Center Fallout
A recent earthquake in Taiwan has disrupted industries, including the data center market, raising concerns about supply chain stability.
DRAM Scaling and Maximum Memory Capacity
Discover the latest advancements from industry leaders Micron, Samsung, and SK hynix, and gain insights into the future of technology.
2023 Automotive Semiconductor Vendor Share: STMicroelectronics Closing in on NXP and Infineon
The automotive semiconductor landscape in 2023 showcased Infineon and NXP retaining their top positions, with Infineon widening its lead over NXP.Automotive in-Car Commerce 2024 Update
In-car commerce (also commonly called in-vehicle payments) is one of these more recent features that OEMs have been deploying over the last several years. In-car commerce enables vehicle occupants to pay for fuel, charging, parking, and other services from the infotainment system’s display. As of early 2024, 14 automotive brands offer in-car payment features in 15 countries, with more deployments planned.
Huawei's Q4 2023 Resilience in China Market
Despite facing stiff competition from Apple in the mid- to premium-tier segments, Huawei's performance during China's 11.11 online shopping festival solidified its position as a top contender.Automotive Semiconductor Vendor 2023 Market Shares Data
This datasheet presents TechInsights analysis of 2023 automotive sector revenues and market shares of the leading semiconductor vendors, with revenue splits by major component product category and by region including N. America, Europe, Japan, China, South Korea and ROW. It also includes market shares and revenue splits for previous years extending back to 2010.Sony IR Eye Tracking 1.8MP Camera from Apple Vision Pro Package Analysis
The Apple Vision Pro augmented reality headset uses four inferred cameras to track its wearer’s eyes. This report catalogues the structure of those camera modules, how they fit into the headset, and provides an overview of the Sony back-side illuminated CMOS image sensor that they house.OmniVision OX03D4C 1/4" 3MP 2.1μm Pixel CMOS Image Sensor Device Essentials Plus
The OmniVision OX03D4C is a color, 3-megapixel (MP) resolution stacked back-illuminated (BI) CMOS image sensor (CIS) with a 1/4-inch optical format, LED flicker mitigation (LFM), and 140 dB high dynamic range (HDR) for automotive applications.
Micron Q1 2024 (FY Q2 2024) Report Summary
Discover the highlights of Micron's Q1 2024 report, showcasing impressive growth and strategic advancements in the semiconductor industry. Gain insights into Micron's market outlook and innovative initiatives shaping the future of semiconductors.Baidu Kunlun II A2S1CAXGA SoC Packaging Quick Look Analysis
This is an Advanced Packaging Quick Look (APQ) summary document for the Baidu Kunlun Xin A2S1CAXGA processor, provided as a companion deliverable for the APQ-2312-801 project. The Baidu Kunlun Xin processor, also referred to as the Kunlun II, is the second-generation of the Baidu Kunlun processor series.Survey Plus Teardown of the Xiaomi Redmi Note 13 23129RAA4G Smartphone
The Redmi Note 13 comes with a 120 Hz, 6.67-inch AMOLED touchscreen display offering a resolution of 1080 x 2400. Xiaomi Redmi Note 13 has four cameras.Survey Plus Teardown of the Samsung Galaxy A15 SM-A155M Smartphone
Most of the main SoC in the Samsung Galaxy A15 4G are from Mediatek. In the comparison of the Samsung Galaxy A14 4G it has Octa-Core Helio G99 Applications/Baseband Processor (MT6789V-CD), Power Management (MT6366MW), and RF Transceiver (MT6186MV) chips.Deep Dive Teardown of the Meridian Kia EV6 Audio Amplifier 96370-CV100 Automotive
The Meridian 96370-CV100 is a high-end audio 11 Cannel Amplifier that was designed Meridian Audio. This system is available in the KIA EV6. Communication between the audio amplifier and the audio elements in the car happens through one main connector.Deep Dive Teardown of the Denso Toyota Prius Front Windshield Camera 8646C-47130 Automotive Camera
Designed by Denso, the Vision Sensor Camera is a part of the Toyota Prius safety and driver assistance system. It combines a millimeter-wave radar sensor and vision sensor to assist the driver in safely controlling the vehicle.Deep Dive Teardown of the Xiaomi Redmi Note 13 Pro 2312CRAD3C Smartphone
Released in September 2023, the Xiaomi Redmi Note 13 has been equipped with Qualcomm’s Octa-Core Snapdragon 7s Gen 2 Applications/Baseband Processor # SM7435-100-AB, made in 4nm technology. Memory was provided by Micron and contains 12 GB Mobile LPDDR5 SDRAM and 512 GB 3D TLC NAND Flash.STMicroelectronics Ouster L3 Chip Third-Generation Direct Time-of-Flight CMOS Image Sensor Device Essentials Plus
The Ouster OS1 Mid-Range High-Resolution Imaging LiDAR incorporates the new REV7 sensor that uses the L3 Digital LiDAR Chip. This L3 chip is a stacked back-illuminated (BI) direct time-of-flight (d-ToF) fabricated by STMicrolectronics. The L3 chip uses single photo avalanche diode (SPAD) pixels to analyze the IR signal that is returned to the LiDAR from the emitting source located in the LiDAR.YMTC 1.33Tb 128L QLC 3D NAND Internal Waveform Overview
The following is an Internal Waveform Overview containing the program, read, and erase waveforms for the YMTC 1.33 Tb 128L quad-level-cell (QLC) 3D NAND flash memory device (die markings: CEC1A). This device is packaged inside the YMTC YMC4G0W1TbG1AA1C0 NAND flash memory package, which was found on the HikSemi HS-SSD-V300 2.5 inch SATA 6 Gb/s 512 GB solid state drive (SSD).Smartphone On-Device AI Chip Market Share Tracker Q4 2023: Mobile AI Becomes Table Stakes
Global smartphone apps processors (AP) with on-device artificial intelligence (AI) increased 26 percent year-over-year in Q4 2023 as the mobile chip industry rebounds from a market slump. Qualcomm's and Apple APs continue to dominate the absolute volume of on-device AI designs in Q4 2023 with MediaTek making significant gains with their latest Dimensity 9300.Deep Dive Teardown of the TiVo Stream 4K IPA1104HDW-01 Streaming Media Stick
Several manufacturers share design Wins for the TiVo Stream 4K IPA1104HDW-01. The main SoC came from Amlogic. For this device, Nanya supplied 2 GB LPDDR4 SDRAM. Whereas NAND memory comes from Samsung and offers 8 GB MLC NAND Flash.Hybrid Technologies Legislation/Support
This database has collated the various incentives and legislation used to promote the development, manufacture and sales of electric and hybrid vehicles. It focuses on over 1,000 incentives and mandates, from Europe, North America and significant highlights from other regions.EV/HEV Technologies Supply & Fitment Database
This database has collated the various developers and suppliers of starter-alternators, electric motor-generators and batteries for each battery electric, hybrid and fuel cell vehicle model that has been assembled since 1997, is being planned for future production or is being developed for possible future deployment.Deep Dive Teardown of the Huawei Qingyun L540-001 Laptop
The Huawei is a laptop that features a fan-based cooling system. Between the processor and heat pipe there is a lot of thermal paste. The laptop is equipped with a cooling fan that helps to dissipate heat from the internal components, including the CPU.Global Fitness Band Vendor Market Share by Region: Q4 2023
Global Fitness Band shipments plummeted by over 40% year-over-year (YoY) for the third consecutive quarter during Q4 2023. Slumping demand for the market leading Xiaomi Mi Band line as well as a market exit from Samsung, in addition to macroeconomic weakness, geopolitical uncertainty, and continued high inflation stifling consumer discretionary spending contributed to the downtick. Consumers are trending away from fitness bands and toward smart and feature watches.Global Smartwatch OS Market Share by Region: Q4 2023
Global smartwatch shipments declined for the fifth consecutive quarter during Q4 2023, after Q4 2022 marked the first year-over-year (YoY) decline since 2016 as the smartwatch space begins to mature and consumers reign in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.North American 5G Smartphones Country Forecast to Q4 2025
5G smartphone shipments in North America in Q4 2023 accounted for over nine in ten of total smartphone shipments in the region. The US accounted for more than nine in ten of all 5G smartphones shipped in the region in the quarter. Apple was the leading 5G smartphone vendor and Samsung was the leading Android 5G smartphone vendor. This report tracks 5G smartphone shipments for the top vendors in the US by quarter from Q1 2019 and forecasts shipments through 2025. It is a valuable tool for all stakeholders who want to track the influential North American 5G market.
AI Notebook PC Forecast: 95% AI-Capable Shipments by 2029
AI-capable notebook PCs– defined as a notebook PC that ships with a dedicated chipset built specifically to accelerate AI computing on device (i.e. an NPU) –will represent 95% of the total notebook PC market, or 230.5 million units, shipped in 2029.
Samsung Dominates Latin America's Smartphone Market: Q4 2023 Breakdown
In Q4 2023, Latin America's smartphone market experienced significant growth driven by economic improvements, festive occasions, and the introduction of new Apple iPhone models.4Q 2023 Smartphone Apps Processor Market Tracker
The global smartphone applications processor (AP) market gained a healthy 17 percent year-on-year in unit growth during Q4 2023 with corresponding revenue increase of 14 percent. Smartphone market has shown renewed strength in the fourth quarter as OEMs and chipmakers looks toward growth after the 2022-2023 industry correction. This report tracks stand-alone and integrated smartphone applications processor unit shipments, revenue market share and ASPs for 18 chip vendors from Q1 2008 to Q4 2023.France Handset Vendor Marketshare by Operator: Q4 2023
The French handset market in Q4 2023 remained flat by shipment basis. Apple captured the number one positions across all local carriers while Samsung stood at the number two positions. Xiaomi maintained the number three ranking while Oppo kept losing its momentum recently.Forecasts: TV & Video Services
These reports provide TechInsights latest forecasts on connected TV advertising, subscription TV, and subscription video-on-demand (SVOD) by service. Our advertising forecast includes ad spend by linear TV, SVOD, free-ad-supported TV services (FAST), broadcaster VOD (BVOD), search, social, print, audio, cinema, and out-of-home (OOH). The SVOD forecast includes subscribers, revenues, and market share by service.ANALYSIS: Global 5G and mmWave Smartphone Shipments Forecast by Region to 2025
The 5G honeymoon period is over. Global 5G smartphone shipment growth remained low in 2023 due to economic turbulence. Growth is stagnating due to Chinese softness, and geopolitical and economic turbulence. Apple leads vendors with an over one-fourth share of all 5G shipments globally, followed by Samsung and Xiaomi. By 2025 Apple’s lead will have shrunk as Samsung grows its shipments faster than the other leading vendors. 5G mmWave devices continue to exist mainly in the North American and Japanese markets.
NVIDIA's Blackwell Platform: Powering AI with Advanced Electronics
NVIDIA's unveiling of the Blackwell Platform at GTC 2024 has sparked industry-wide excitement, showcasing the company's prowess in AI computing.Data-Center AI Chip Market – Q1 2024 Update
The data-center AI chip market expanded beyond anyone’s wild imagination in 2023. NVIDIA tripled its revenue and reached a two trillion dollar valuation in the stock market. The demand for GPUs continued to make headlines with supply being the constraint for the growth.Global Smartwatch Vendor Market Share by Region: Q4 2023
Global smartwatch shipments declined for the fifth consecutive quarter during Q4 2023, after Q4 2022 marked the first YoY decline since 2016 as the smartwatch space begins to mature and consumers reign in discretionary spending causing a lengthening replacement cycle amid macroeconomic weakness.
Apple iPad Model Tracker 4Q 2023: Big Changes Expected in 2024 Refresh
Apple is rumored to launch new iPad Pro models with the M3 chip and even new iPad Air models in late March 2024, kicking off a year of iPad updates. These will be important updates to Apple’s portfolio and should be cast in the new light of what is possible with on-device AI to reinforce the messaging that iPads are PC replacements as well as entertainment devices.Deep Dive Teardown of the Bosch 2022 Peugeot 208 Head Unit 9841575880 Automotive
The PSA RCC A1 is a head unit designed for PSA Group (now Stellantis) cars. This model was mounted in a 2022 Peugeot 208. It features AM/FM and DAB radio, Bluetooth 4.0, and USB 2.0 connectivity. The head unit supports four 50 W speakers and a rear-view camera.Deep Dive Teardown of the H3C Magic BE18000 WiFi Router
The H3C Magic BE18000 is one of the first Wi-Fi 7 (802.11be) routers on the market. It is powered by Qualcomm’s chipset and a 2.2 GHz quad-core processor + 1 GB of RAM and 128 MB of storage. It uses MU-MIMO in 2.4 and 5GHz WiFi bands and there are 16 antennas inside (4x2.4GHz MIMO omnidirectional antennas + 3 sets of 5GHz MIMO directional antennas).UK Handset Vendor Marketshare by Operator: Q4 2023
We estimate 6.7 million handsets were shipped in the UK in Q4 2023, growing by 8% YoY. Apple maintained its position as the number one handset vendor across all four major UK operators during the quarter, largely boosted by the strong demand of the iPhone 14 / 15 series. Samsung trailed Apple across four major UK operators during the quarter. While Xiaomi defended number three position in the UK market, Oppo continued to lose momentum. This report tracks quarterly handset vendor market share at the four major UK operators -- EE, Vodafone, O2 and Three -- from Q1 2009 to Q4 2023.Nexperia NSF040120L3A0 1200V 40mΩ N-channel SiC Power MOSFET Power Essentials Analysis
This report presents a Power Essentials analysis of the Nexperia NSF040120L3A0 silicon carbide (SiC) power MOSFET. The NSF040120L3A0 power package features a N-channel 1200 V SiC power MOSFET device which provides a maximum continuous source/drain (S/D) current of 65 A (at T = 25 °C) and a 40 mΩ typical S/D ON-resistance (RDS(ON)) and is designed for high power and high voltage industrial applications.Analysis: Apple iPad Model Tracker Q4 2023 – Expect Big Changes in 2024 as Apple Refreshes the iPad
As economic conditions improved in the second half of 2023, so too did tablet demand. However, with a product portfolio not updated since late 2022, low refresh demand for iPad held back a stronger recovery.
NVIDIA Goes All In on Generative AI
NVIDIA's latest unveilings represent a significant leap forward in technological innovation across various domains. The introduction of the Blackwell GPU, while lacking specific performance metrics, hints at enhanced capabilities, especially with its integration into the DRIVE THOR SoC.Intel SRMZ1 Core Ultra 7 8PNRC (SoC Tile) TSMC 6nm FinFET Digital Floorplan Quick Look
The SRMZ1 is a 3D packaging assembly comprising a side-by-side arrangement of four dies, including system-on-chip (SoC) die with die makings 8PNRC, mounted on a common interposer placed on the package wiring board (PWB) employing Intel’s 3D Performance Hybrid Architecture packaging technology. SRMZ1 application processor was extracted from the Acer 14" Swift Go 14 multi-touch laptop.Survey Plus Teardown of the Samsung S24 Ultra SM-S928B/DS
The Samsung Galaxy S24 Ultra comes with a custom version of Qualcomm's high-end chipset - Snapdragon 8 Gen 3. This phone has a 5,000mAh battery with support for 45W fast charging. The SIM card tray can handle two nano-SIM cards.Data-Center AI Chip Market 2023 Year-End and Q4 2023 Update
This document summarizes quarterly update (Q4/23) from companies like DC AI Chips, Nvidia, Intel and AMD, and key yearly updates (2023) for the data center AI chip market.
Samsung Unveils First Imager Featuring Hybrid Bond Technology
Unlock the future of imaging technology with TechInsights' groundbreaking discovery: Samsung's inaugural imager boasting innovative hybrid bond technology.China: Smartphone Shipments & Marketshare by Model: Q4 2023
China is the world's largest smartphone market. It delivers high volume and revenue to device makers, component suppliers and operators. Our extensive report shows the top-100 best-selling smartphone models in China in the fourth quarter of 2023. Besides popular Apple, Honor, Oppo, Vivo, and Xiaomi models, which brands and models have made their way into the bestsellers and what is the secret behind their success?Germany Handset Vendor Marketshare by Operator: Q4 2023
We estimate 6.2 million handsets were shipped in Germany in Q4 2023, declining by -2% YoY during the quarter. Apple captured the leading positions across all major carriers in Germany ahead of Samsung. Xiaomi maintained its standing while Oppo lost the ground due to patent issues. vivo and Realme as well as Oppo shipped no new smartphones during the quarter.Smartphone Vendor Marketshare for 'Next 60' Countries: Q4 2023
Global smartphone shipments grew by 7% annually YoY in Q4 2023. The sixty countries tracked in this report accounted for 91% of these global shipments. Apple was the largest vendor and was followed by Samsung on consolidated shipments to these markets.AI-Capable Notebook PC Shipments Forecast by Region and OS 2023-2029: 1Q 24
AI-capable notebook PCs - defined as a notebook PC that ships with a dedicated chipset built specifically to accelerate AI computing on device (i.e. an NPU) – will represent 95% of the total notebook PC market, or 230.5 million units, shipped in 2029.Prophesee GenX320ES Event-Based Metavision Sensor Mixed Signal ISP Die Advanced Floorplan Analysis
This report presents an advanced floorplan analysis of the image signal processor (ISP) die from the Prophesee GenX320ES, 1/5″ format, 320 ×320 pixels resolution, 6.3 μm pixel pitch, stacked back-illuminated event-based Metavision® CMOS image sensor for embedded vision and power-sensitive applications.Beken BK3296 22nm Bluetooth Audio SoC Process Analysis
This report provides an analysis of the structure and materials used in the manufacture of Beken BK3296 22nm Bluetooth Audio SoC manufactured in UMC's 22nm ULP process. The report includes a summary of key findings, and a detailed look at the FEOL, MOL and BEOL structures and materials used. Extensive SEM, TEM and materials analysis provide a complete look at how this device was manufactured.
3D NAND Technology Roadmap
Gain exclusive access to TechInsights' comprehensive 3D NAND Technology Roadmap, guiding you through the ever-evolving landscape of innovation.
Maximizing NAND Capacity per Wafer in 3D NAND Production
Stay ahead in the dynamic world of semiconductor technology with insights into the race for maximum NAND capacity per wafer in 3D NAND production. Discover how advancements are driving innovation, pushing boundaries, and shaping the future of storage.Deep Dive Teardown of the OnePlus Open CPH2551 Smartphone
Power Management (PM8550VE-001, PM8550VS-001, and PM8550-001) used in Samsung version S24 Ultra, which indicates the use of the same chipset as flagships smartphones. ICs from Skyworks, Qualcomm and Murata provide RF chips for smartphones. Of the new RF chips, the most notable is the LB Front-End Module (SKY58101-11). OnePlus has WiFi 7 and Bluetooth 5.3, for this used Qualcomm IC (WCN7851-101).Deep Dive Teardown of the Samsung Galaxy S24 SM-S921B/DS Smartphone
The manufacturer with the main cost is Samsung. It supplied the Applications/Baseband Processor, DDR5X SDRAM, 3D TLC V-NAND Flash, RF Transceiver + GPS, NFC Controller, 8x Power Management, Camera Power Management, 3x Envelope Power Tracker, and Clock Buffer. Samsung supplied a total of 18 chips for this device.
Accelerating Automotive Innovation with AI
Discover the groundbreaking Blackwell chip, promising accelerated advancements in autonomous driving and electric vehicles with its impressive performance improvements.Intel Core Ultra 7 155H Meteor Lake CPU Die (Intel 4) Advanced CMOS Process Analysis
This report provides an analysis of the structure and materials used in the manufacture of the of the Intel Core Ultra 7 155H (Meteor Lake) CPU die (compute tile), fabricated using the Intel 4 finFET process. The report includes a summary of key findings, and a detailed look at the FEOL, MOL and BEOL structures and materials used. Extensive SEM, TEM and materials analysis provide a complete look at how this device was manufactured.
Blackwell Unveiled - A Leap Towards Exascale Compute
Discover Blackwell, NVIDIA's latest GPU chip unveiled at GTC 2024. With unparalleled performance and innovative features, Blackwell sets a new standard for server capabilities, paving the way for exascale computing.Smartphone Battery Market Share CY2023: Revenue Decline Exceeds 10% YoY
The global market for smartphone battery cells recorded more than 10% annual decline in revenues in CY 2023. Revenues fell because of the sluggish market demand and declining cell pricing environment. Amperex Technology Ltd. (ATL) led with 46% revenue share followed by LG Energy Solutions and Samsung SDI. This report includes the global shipments, revenue and ASP of battery cells by vendor by quarter from Q1 2018 to Q4 2023.
Blackwell Turbocharges NVIDIA's Push into Automotive Cockpits with Generative AI Solutions
Unlock the future of automotive innovation with NVIDIA's generative AI solutions. Integrating Blackwell GPU architecture into the DRIVE THOR SoC, NVIDIA promises advancements in vehicle technology.Global Smartwatch Sales Forecast for 88 Countries: 2012 to 2029
Global smartwatch unit sales are expected to grow by 5% in 2024 and 8% in 2025, despite global economic uncertainty. We expect technology and traditional fashion and wristwatch heavy weights as well as new market entrants in China and India to further expand the market in the coming years.Consolidated Datacenter Forecast Q1 2024
The consolidated datacenter forecast aggregates data from multiple TechInsights specialists to produce a single view of the memory, processors, accelerators, and servers required to support the world's data centers over the next five years. The data are further broken down into hyperscaler and public cloud breakdowns.
Top 10 Smartphone Models
Explore the top 10 smartphone models unveiled at MWC 2024, ranging from sleek flagships to budget-friendly alternatives. Discover groundbreaking innovations and cutting-edge features that redefine the mobile experience.
Apple Vision Pro Cameras
Unlock the secrets of the Apple Vision Pro camera system with die photos and precise measurements to discover its groundbreaking technology today.New Partnership Models Between Global OEMs and Chinese Automotive Start-ups
Starting from the second half of 2023, global OEMs, represented by Volkswagen and Stellantis, have acquired ready-made vehicle platforms and related core development capabilities from Chinese automotive start-ups. Compared with the previous joint venture method adopted by global OEMs in the 1980s to enter China by exchanging their leading technologies for access to the Chinese market, this round of cooperation is called a “reverse joint venture”.Understanding Datacenter Coverage at TechInsights
TechInsights’ data center and cloud coverage area analyzes how chip-buying organizations use semiconductors in their products and how end-user demand drives change. This document summarizes how technology vertical specialists work together to produce a holistic view of the data center market.Global OEM Electric Vehicle Strategies: Divergence In Competing With China
As Chinese auto makers begin exports of battery electric models to mature market regions, global auto groups expressed concern over their price competitiveness. Some OEMs have announced the delay to their earlier plans to develop more affordable models. Others have announced their intention to continue with their plans, aiming to achieve cost reductions to their operations. Tesla, meanwhile, has lowered its cost footprint to force a price war on rivals.Baseband Market Share Tracker Q4 2023: Industry Looks Towards 5G Advanced and AI to Drive Growth
The global cellular baseband processor market grew 3 percent year-on-year (volume shipments) in Q4 2023 as the industry continues to recover from the disruptive inventory adjustments of the past several quarters. Major smartphone baseband vendors are now looking to 5G Advanced and AI to spur growth.Deep Dive Teardown of the Samsung Galaxy A15 5G SM-A156E/DS
The Samsung Galaxy A15 5G has several design solutions that are different from the previous model. First is a new processor from Mediatek. The Samsung A14 5G has Dimensity700 (MT6833V, CMOS -7nm) Octa-Core Processor and Samsung A15 5G has Dimensity6100+ (MT6835V, CMOS -6nm) Octa-Core Processor.Deep Dive Teardown of the Amazfit BIP 5 A2215 Smartwatch
The Amazfit_BIP_5_A2215 is a low budget smartwatch with an Ultra-large Display (1.9’’) and long battery life. The device is based on ZeppOS 2.0 and supports Alexa Voice Assistant.Deep Dive Teardown of the ZTE Nubia RedMagic 8S Pro NX729J Smartphone
The ZTE Nubia Red Magic 8S Pro was launched on July 11, 2023, with a focus on gaming. It utilizes the Qualcomm SM8550-AC Snapdragon 8 Gen 2 chipset and runs on RedmagicOS 8, based on Android 13. The device features a 120 Hz, 6.8-inch display with a resolution of 2480 x 1116. It supports 65W fast charging, facilitated by three Qualcomm chips (two SMB1396-002-02 and one SMB1399-001-03) responsible for fast charging.Streaming Service Content Spend (2010–2024e)
In 2023 Netflix, Disney, and Warner Bros Discovery cut their streaming content spend by $7.8 billion, however, this was at least partially offset by spending increases by Amazon Prime Video, Paramount+, and Peacock. This report examines the annual content spend of major global media and technology companies and their streaming services.Texas Instruments UCC21717QDWRQ1 Automotive Single Channel Isolated Gate Driver Power Essentials Analysis
The Texas Instruments (TI) UCC21717QDWRQ1 is a galvanically isolated single channel gate driver designed for driving SiC MOSFETs and IGBTs with up to 1700 V, offering advanced built-in protection, exceptional dynamic performance, and durability.Analysis: Smartphone Display Panel Market Share Q4 2023
The global smartphone display panel market fell nearly 5% year-over-year in Q4 2023. The revenue declined on the back of a weakening LCD market and a fall in OLED panel ASPs due to intense competition in the period. Samsung Display secured the top spot with over 55% revenue share followed by BOE Technology and LG Display.Q4 2023: Huawei – Strong Resilience in China Market
Driven by the comeback in 5G segment and the eased supply constraints, in this quarter, Huawei’s smartphone shipments increased tremendously 84% YoY to 12.5 million units globally, most volumes coming from China market. Along with the rising ASP, Huawei’s wholesale revenue doubled year-over-year.Deep Dive Teardown of the Dell XPS P91F Mobile Computer
The battery can be charged by using USB Type-C port with support of 130 W charging. A charger is included. The Dell XPS is equipped with a 60 Hz, 15.6” OLED Display with a resolution of 3456 x 2160. It features two WiFi antennas with an Intel module supporting the WiFi 6E. Both of those antennas support Bluetooth 5.3 connectivity.
MWC Post Show Video 2: PC Sustainability, Automotive Connectivity, and AI Innovation
Explore exclusive insights from our top analysts at MWC 2024, uncovering this year's pivotal technology trends.Exploratory Analysis: Kirin 8000 SoC extracted from Huawei Nova Pro Processor
We carried out exploratory analysis of the Kirin 8000 processor extracted from a recently released Nova 12 Pro, a 5G smartphone from Huawei, one of the series of 5G smartphones released by Huawei, despite US sanctions. The application processor has very similar package markings as the Kirin 985 processor from Honor 30 released in 2020.Exploratory Analysis: Kirin 9000W SoC extracted from Huawei MatePad Pro 13.2-inch Tablet
Exploratory Analysis of the Kirin 8000 SoC extracted from the Huawei Nova Pro processor, which appears to be a close relative of the Kirin 985 (released in 2020), but with a die shrink. This report determines the origin of the foundry and the process node.Data-Center AI Chip Market Share Q1 2024
Apple’s share is slowly eroding but it will keep peak position through strong iPhone sales in 2024 and 2025. We forecast Samsung will retain second place globally in 2024 and 2025 followed by Xiaomi taking third position.Global 5G Smartphone Shipments Forecast by Vendor by Region by Quarter to 2025
Apple’s share is slowly eroding but it will keep peak position through strong iPhone sales in 2024 and 2025. We forecast Samsung will retain second place globally in 2024 and 2025 followed by Xiaomi taking third position.Global 5G mmWave Smartphone Shipments Forecast by Vendor by Quarter to 2025
5G mmWave is the fast high-frequency connectivity method that is part of the bigger 5G family. We expect the United Stated (US) and Japan to remain main advocates for mmWave in smartphone use. Apple and Samsung are the biggest mmWave device vendors owing to their share from the US market.
MWC Post Show Video 1: Insights from Mobile World Congress 2024
Join TechInsights MWC attending analysts as they reflect on some of the biggest surprises and takeaways from the event and discuss which of their pre-show predictions rang true.Smartphone Display Panel Market Share Q4 2023: Strong Growth in OLED Panel Shipments
The global smartphone display panel market fell nearly 5% percent year-over-year in Q4 2023. The revenue declined on the back of weakening LCD market and fall in OLED panel ASPs due to intense competition in the period. Samsung Display secured top spot with over 55 percent revenue share followed by BOE Technology and LG Display.LTE / LTE-Advanced Handset Vendor Shipments by Country: Q4 2023
LTE-Advanced handset market has continued to show a descending curve as more 5G smartphones are shipped to major countries recent quarters. 5G dynamics have substantially started to change 4G dynamics. In Q4 2023, India has become the biggest LTE-A handset market in the world for 14 quarters in a row, by volume.DRAM Market Report Q1 2024
This quarterly report provides a comprehensive analysis of the DRAM market, covering key aspects such as supply and demand dynamics across various segments including PC, datacenter, mobile, automotive, and more. It meticulously maps the entire DRAM market landscape, offering insights into production volumes, pricing trends, technological advancements, and market shares.
Amazon's 30% Market Share: Smart Speaker Leadership in 2023
In the dynamic realm of smart technology, Amazon has maintained its pioneering position in smart speakers and displays since its inception in 2014.Q4 2023: Lenovo-Motorola: Growth Among All Key Regions
Lenovo-Motorola’s global smartphone shipments grew 33% year-over-year (YoY) in Q4 2023 outperforming the global smartphone market driven by strong performance in its key markets US and Mexico, and strong triple digit annual growth in India.USI 339M00227 Antenna-In-Package Packaging Analysis
This report presents a package analysis (PKG) on the USI 339M00227 mmWave AiP device. The 339M00227 AiP contains a radio frequency TX/RX transceiver die and an antenna array formed from the metallization layers of a printed wiring board (PWB).
Empowering 5G: Revolutionizing Mobile RF Design Challenges with Acoustic Wave Filters
5G requirements have driven a major shift in Mobile RF technologies and radio design. RFFE designers and engineers now need to contend with a plethora of challenges in radio design, ranging from supporting RF to tighter module integration with an increased number of components, one of which is RF filters.
Decoding the Oura Ring Gen 3: Unraveling the Mystery of Limited Mass Adoption
Our report takes a deep dive into the smart ring market, examining use cases, integration with healthcare systems, regulatory hurdles, user experience, teardown analysis, key current and future vendors, total market size and growth trajectory, as well as an in-depth look at the leading product in this space: the Oura Ring Gen 3.MWC 2024: Key Takeaways for Mobile Semiconductor
The 2024 (MWC24) edition highlights the industry’s current interest areas and hot topics in a period when 5G is approaching mid-cycle deployment and growth. The topics which drew much of the attention this year in Barcelona were mobile generative artificial intelligence (AI), the emergence of 5G RedCap, and satellite NTNs.MWC 2024: Telecoms Key Takeaways
This post-event report summarizes the top themes discussed at MWC 2024 (Mobile World Congress 2024) held from 26th Feb till 29th Feb in Barcelona. The report talks about AI the hottest topic this year at MWC across the entire telecom ecosystem and provides TechInsights' views on selected themes.Tsingteng Micro THN31FWC1 NFC Controller Floorplan Analysis (IoTB)
This report describes a Basic Floorplan Analysis (BFR) of the Tsingteng Micro THN31FWC1 die found inside Tsingteng Micro THN31FWC1 component (a WLCSP). The THN31FWC1 was extracted from the 8849 TANK 3 smartphone.
Samsung K9YYGB8J1C-CCK0 176L QLC 3D NAND Memory Floorplan Analysis
This report presents a Memory Floorplan Analysis of the Samsung K93KGO8J0C 176L QLC 3D NAND found inside Samsung K9YYGB8J1C-CCK0. The K9YYGB8J1C-CCK0 was extracted from the Samsung T5 EVO MU-PH4T0S 4 TB Portable SSD drive.